Every time... Here I am, just wanting to test the most benign of things, and Vivado has to give me ****. It says "design rev_top has unconnected port sw[4]" (I assume because "design revving has unconnected port rev" - which it is connected to), which I know for a fact is a lie. It also says "design has unconnected top module" and I'm suspecting Vivado has become senile at this point.
My goal is to reverse bits, depending on a signal (sw(4) - to reverse or not). Just to test the syntax and functionality of VHDL. VERY SIMPLE, IF I JUST HAD A CHANCE TO TEST IT.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity rev_top is
Port (clk : in STD_LOGIC;
sw : in STD_LOGIC_VECTOR (4 downto 0);
led : out STD_LOGIC_VECTOR (3 downto 0));
end rev_top;
architecture Behavioral of rev_top is
component revving is
Port (clk, rev : in STD_LOGIC;
in_sig : in STD_LOGIC_VECTOR (3 downto 0);
out_sig : out STD_LOGIC_VECTOR (3 downto 0));
end component;
begin
REVERSER: revving port map(clk => clk, rev => sw(4), in_sig => sw(3 downto 0), out_sig => led);
end Behavioral;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity revving is
Port (clk, rev : in STD_LOGIC;
in_sig : in STD_LOGIC_VECTOR (3 downto 0);
out_sig : out STD_LOGIC_VECTOR (3 downto 0));
end revving;
architecture Behavioral of revving is
signal reverse_order_bits : STD_LOGIC_VECTOR (0 to 3);
begin
reverse_order_bits <= in_sig;
out_sig <= reverse_order_bits when rev = '1' else in_sig;
end Behavioral;
Question
Tickstart
Every time... Here I am, just wanting to test the most benign of things, and Vivado has to give me ****. It says "design rev_top has unconnected port sw[4]" (I assume because "design revving has unconnected port rev" - which it is connected to), which I know for a fact is a lie. It also says "design has unconnected top module" and I'm suspecting Vivado has become senile at this point.
My goal is to reverse bits, depending on a signal (sw(4) - to reverse or not). Just to test the syntax and functionality of VHDL. VERY SIMPLE, IF I JUST HAD A CHANCE TO TEST IT.
Link to comment
Share on other sites
6 answers to this question
Recommended Posts
Archived
This topic is now archived and is closed to further replies.