Jump to content
  • 0

Conflicting Voltages in Bank


Dual1ty

Question

I followed the tutorial on Youtube shown below to 9:33 but my Bitstream generation is unsuccessful. I get the following rule violation:

[DRC 23-20] Rule violation (BIVC-1) Bank IO standard Vcc - Conflicting Vcc voltages in bank 14. For example, the following two ports in this bank have conflicting VCCOs:  
btnD (LVCMOS18, requiring VCCO=1.800) and led[0] (LVCMOS33, requiring VCCO=3.300)

Does anybody what I can do to fix it?

 

Thank you very much!

Tony

Link to comment
Share on other sites

2 answers to this question

Recommended Posts

Hi Tony,

What that error means is that you cannot have two different I/O standards, input output voltage standards in the same bank. To fix it you need to go to your XDC file for the project and change the I/O standards that say LVCMOS18 to LVCMOS33.

You can always use the I/O standards listed in the Basys 3 master XDC file on the product page.

Kaitlyn

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...