Jump to content
  • 0

AXI Stream FIFO


newkid_old

Question

I am trying to read data into a AXI streaming FIFO.  I've attached my design.  The data is presented on the axi_str_rxd_tdata.  My clock that frames the data is applied to the axi_str_rxd_tvalid input.  I have a counter that counts up to 128 and when the limit is reached it pulses the axi_str_rxd_tlast line.  I am trying to read this data in my MicroBlaze processor but the only seem to catch one point of data.  The first word is the data I'm feeding the FIFO but the next 127 words are zero.  I am using Xilinx SDK example code for the fifo interaction.  Any help is greatly appreciated.

 

Cheers

Current.JPG

Link to comment
Share on other sites

10 answers to this question

Recommended Posts

The FIFO has a 100mhz clock on it.  The adc is generating a new word at 5mhz currently.  My counter is set to 512 which equates to a packet every 102uS.  This assumes I'm understanding that a packet is the number of words it stores before a packet flag is generated.

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...