Jump to content
  • 0

Editing the XDC file


Newport_j

Question

On page 9 of the getting Started with Vivado tutorial it asks one to make modifications to the XDC file hat we have been using in the previous.  pages.

The first sentence is:

"Find and uncomment the lines that call get_ports on the names led[0] and clk by removing the # symbol at the beginning of the line"

I know how to remove #'s, I just do not know from that sentence which ones to remove.  The lines that call get _ports? How many are there?

It also says that on "some boards the clock will consist will consist of two different ports clk_p and clk_n. The clock is occasionally named something like sysclk, but should appear at the top of the XDC file."

I see nothing like that in my Zybo-Z7-20 file. There is nothings like that at the top of the file definition as well.

It ends with "Uncomment the create_clock line that follows the clock port/s definition as well."

I just do not understand what this means. I know how to uncomment lines, but not which lines to uncomment. 

My board file in question is for the Zybo-Z7-20 board.

Any help appreciated. Thanks in advance.

Respectfully,

Newport_j

 

 

Link to comment
Share on other sites

12 answers to this question

Recommended Posts

Hi @Newport_j,

Here is the master xdc for the Zybo-z7-20. To confirm you are using this tutorial here.  In this case you alter the xdc from 

#Clock signal
set_property -dict { PACKAGE_PIN K17   IOSTANDARD LVCMOS33 } [get_ports { sysclk }]; #IO_L12P_T1_MRCC_35 Sch=sysclk
create_clock -add -name sys_clk_pin -period 8.00 -waveform {0 4} [get_ports { sysclk }];

to 

#Clock signal
set_property -dict { PACKAGE_PIN K17   IOSTANDARD LVCMOS33 } [get_ports { clk }]; #IO_L12P_T1_MRCC_35 Sch=sysclk
create_clock -add -name sys_clk_pin -period 8.00 -waveform {0 4} [get_ports { clk }];

 

and from

#LEDs
set_property -dict { PACKAGE_PIN M14   IOSTANDARD LVCMOS33 } [get_ports { led[0] }]; #IO_L23P_T3_35 Sch=led[0]

to

#LEDs
set_property -dict { PACKAGE_PIN M14   IOSTANDARD LVCMOS33 } [get_ports { led }]; #IO_L23P_T3_35 Sch=led[0]

 

thank you,

Jon

 

 

Link to comment
Share on other sites

When I open  up the master.xdc file in windows the default app is Internet Explorer. That is fine, but I cannot edit the file as I want to edit it. I can only print it out.

I want to edit the file as was told to me in the post by Jon. I cannot. What program can edit it? How do I turn on editing capabilities in Internet Explorer?

Any help appreciated.

Thanks in advance.

Respectfully,

Newport_j

Link to comment
Share on other sites

Jon:

 

I see your instructions, but are they complete? I have attached the instructions in the getting Started with Vivado. It seems that we must uncomment some lines also. In addition to the edits you showCapture.thumb.JPG.f619b459a7185999ec3dfcb5b1d8b769.JPGed me. Is this correct?

Any help appreciated. Thanks in advance.

respectfully,

Newport_j

 

 

Link to comment
Share on other sites

I decided to edit the file in the project IDE. However, when I Ioaded  and looked at the fie does not look at all like in the tutorial."Getting Started with Vivado"..

The file looks like this snippet shot. This is not what it should look like as seen in the tutorial. It should Capture.thumb.JPG.4ca3f9310d6aa71fcd031fd225741a3b.JPGCapture2.thumb.JPG.3007ee5d47a620ddb38b81c89a8deb6b.JPGe capture2. Should I change it? It just does not look as it should.

Any help appreciated. Thanks in advance.

Respectfully,

Newport_j

 

 

Any Capture.thumb.JPG.4ca3f9310d6aa71fcd031fd225741a3b.JPGTh

Link to comment
Share on other sites

Hi @Newport_j,

You can open up the XDC in Notepad (if you are using Windows) or in a different text editor like notepad++.

But yes, that image does not look correct.

What I would to do (and personally do for myself) get the XDC file edited and saved is:

- Go to the Zybo-Z7 XDC on Digilent's github (here) and copy and paste all of the text into some sort of text editor.
- Save the master XDC file (making sure you save it with a .xdc extension, even if your program doesn't recognize it and you have to type it in manually) to your computer where you can find it later
- when you start a new project in Vivado and during the "create a new project process" you will be asked if you want to add constraints. Choose that file you saved to your machine and make sure you also tick the box in the bottom left hand corner that says "Copy constraints files into project" or something similar.
- Then you can open it up in the Vivado IDE/GUI and edit it safely since you are not messing with the "master copy" you saved to your computer.

Some images of this are available on the Digilent blog here: https://blog.digilentinc.com/creating-and-programming-our-first-fpga-project-part-2-initial-project-creation/.

Let us know if you have any questions about this.

Thanks,
JColvin

Link to comment
Share on other sites

This looks like it will work. Thank you. When you say copy and paste all of the text, I am not sure what you mean, do I leave out some things. I just downloaded the file. If I open with Windows Notepad it looks like the picture of capture1 in my last post.

I think it should look like what I have for capture2 in my last post. I do not need all of the other stuff. That just seems a lot of formatting code or something like it. I just want the lines in there like in Jon's post.

Also, do we need to delete any lines in the master-xdc file? In a nearlier post, I put in the instructions from page 9 of "Getting Stated with Vivado" it seemed like we do. Removing the "#" symbol.

Name a good windows text editor. Windows Notepad creates the image in caputure1. I do not want that.

Thanks for your help.

Respectfully,

Newport_j

 

Link to comment
Share on other sites

Okay, I did what you said and it worked. I have a file that looks correct and has 196 lines. Again, I must ask per a previous question, do we have to uncomment any line or lines in the master.xdc file. I know the edits Jon talks about above, but the instructions I posted gives some more. See previous post. Again, I amusing the Zybo-Z7-20 board.

Also, is it master.xdc or master--xdc. it just is not clear. 

Thanks a lot for your help.

Respectfully,

Newport_j

Link to comment
Share on other sites

Hi @Newport_j,

Whatever naming convention for the XDC file that you downloaded and saved to your computer is correct. The biggest thing that you will need to make sure of is that the file name (whatever it might be) has an ending of

.xdc

The master XDC file does not have to be changed in any way, it can remain as is, serving it's purpose as the master copy.

The file that you load into Vivado in Step 6 of the Getting Started Guide will be changed though. You will want to uncomment (by removing the # symbol) lines 8, 9, and 27, as shown in the image associated with Step 6.5.

If you would like some more detailed documentation on getting a project up and running on a board (albiet not for the Zybo-Z7) you can take a look at this tutorial here. It talks about editing the XDC file in step 17.

Let me know if you have any questions.

Thanks,
JColvin

 

Link to comment
Share on other sites

In page 11 of the "Getting Started with Vivado". It asks a few questions a

 

The area that begins:

I your board uses differential clocking.... Is where the questions are.

Capture.thumb.JPG.e8ef35247eb2f6430f09b51b505a8a6b.JPGand the answer depends on how the board is programmed. I need to know these answers for the these answers for the Zybo-Z7-20 board.

Please tell me what they are. I do not know them. 

Thanks in advance.

Respectfully,

Newport_j

 

 

Link to comment
Share on other sites

Hi @Newport_j,

The Zybo-Z7 does not have a differential clock, otherwise you would have two lines that start with

#set_property

that define two clocks (one that ends in _p and one that ends in _n), but the Zybo-Z7 only has one clock that it is not differential, so you will only add two ports, as shown in the first image in step 7.5. This will let you have the verilog code match the same names as you used in the xdc file so that Vivado can properly generate the code.

Let me know if you have any other questions.

Thanks,
JColvin

 

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...