Jump to content
  • 0

Basys3:xadcdemo question


jmh

Question

4 answers to this question

Recommended Posts

I see, according to the page cited above,  https://reference.digilentinc.com/basys3:xadcdemo that in order to build and program the xdcdemo ...

"You will need…

1. Vivado installed on your computer
2. Nexys4-DDR board from digilent
3. Wires and a voltage to measure"

So, it seems, the project was originally targeted to the Nexys4. Perhaps that's why it references pins AD6, 7, 14 and 15 on the JXADC header. 

The Basys3 Reference Manual at https://www.digilentinc.com/Data/Products/BASYS3/Basys3_rm.pdf shows clearly that you are correct, hamster, and the inputs are pins 1, 2, 3 and 4.

I was going to attach a picture of my circuit and schematic but when I try I am warned that I am "only allowed to upload 501.76kb." although my picture is only 24k

Link to comment
Share on other sites

Hi John, I think the input voltage should be less than Vcc. You can take a look at the reference manual and find out the Vcc. 

You can use any power supplies / function generator to generate the input voltage. 

Link to comment
Share on other sites

That corresponds to the JXADC PMOD pins 1, 2, 3 and 4. 

Pages 1 &  6 of the schematics (http://www.digilentinc.com/Data/Products/BASYS3/basys_3_sch_public.pdf) have all the information you need to verify this four yourself. The XADC is on Bank 35 (centre of page 6), the ADC input filtering is the top/right of page 6 and then wring to the PMOD connector is in the top left of page 1.

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...