Jump to content
  • 0

using xadc and ddr3 artix 7


boyerkg

Question

Hi All,

We are having trouble with our XADC on the nexys video board.  We have a DDR3 design that has a XADC instantiated with convert frequency set to the requirements of the DDR3 MIG.

The 12 bit temp output of the XADC is routed to the MIG.  Our issue is that we always get zero output from the XADC temp vector.  We have simulated the design using the verilog code from the Xilinx ug480_7Series_XADC document.  In simulation we see drdy response from the XADC, but the data is always zero.  It is set in the simulation text file to 63 C.  Another funny thing is that we never see the EOC or EOS signals going high?

Does anyone have a project where you use the temp sensor read-out from the XADC with a DDR3 project.  This is an Artix-7 part.

The cool thing is that with your help (Dan, especially), we have a number of DDR3 designs working ( THANK YOU ).

Regards,

Keith

Link to comment
Share on other sites

4 answers to this question

Recommended Posts

Jon,

What about the project that is shipped with the Nexys Video board?  It has the temperature and internal voltages displayed on the LCD readout.

It is probably more like what we are doing.  The project that you mention has EOC hooked to DEN.  I am not getting EOC for some reason.

   xadc_wiz_0 XADC (
        .daddr_in(xadc_addr),
        .dclk_in(CLK100MHZ),
        .den_in(enable),
        .di_in(),
        .dwe_in(),
        .busy_out(),                    
        .vauxp0(xa_p[1]),
        .vauxn0(xa_n[1]),
        .vauxp1(xa_p[0]),
        .vauxn1(xa_n[0]),
        .vauxp8(xa_p[2]),
        .vauxn8(xa_n[2]),
        .vauxp9(xa_p[3]),
        .vauxn9(xa_n[3]),                           
        .do_out(xadc_data),
        .eoc_out(enable),
        .channel_out(),
        .drdy_out(ready)
    );

Regards,

Keith

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...