Jump to content
  • 0

PMOD with Trenz board


ronik

Question

Hi there,

I'm connecting Pmod NAV: 9-axis IMU Plus Barometer with Trenz board (Trenz TE0701-06 + TE0720). I have been following tutorial to add PMOD in the Vivado design. I using Vivado 2016.2 version. 

But, in the board section, there is no available board component as it is shown in tutorials which is for evaluation boards, like the one below:

image.thumb.png.cec72afbae1e6b3bf45d2a3ddba0887a.png

 

In my case, there is no board component:

image.thumb.png.51f7f14c5b2fba00ba6ca5659d866456.png

 

Could you help me in how to make connections with Zynq board, I'm currently using? Did anyone came across this?

Thanks a lot!

 

 

Link to comment
Share on other sites

4 answers to this question

Recommended Posts

Hi Ronik,

create external IO, like jpeyron has described. And add loc constrains and IO Standard on XDC. You can Use Vivado Pinout Planner (after Synthese) or write directly into xdc.

To get correct pin assignment, you can use schematics or the Trenz Electronic Pinout Excel Sheet.

Here are some links:

Download Pinout Excel sheet:

Download TE0720

Download TE0701

Trenz Wiki with all resources links, TRMs and other descriptions:

PS: There are two new 2017.2 Reference Designs with Linux Examples  for TE0720 are available:

br

John

Link to comment
Share on other sites

Hi @ronik,

We do not have board files for non Digilent fpga's. This will change the process to connect the pmod ip.  You will still need to add the vivado library to the ip repository. Then you will add the desired ip from the add ip button in the block design. Once the ip is added to the design you will need to right click on the pmod out click "make external". After you are done with building the block design and have created a wrapper you will need to constrain the pins for the pmod in the wrapper using an xdc file. 

thank you,

Jon

pmod_1.png

pmod_2.jpg

Link to comment
Share on other sites

Thanks a lot @jpeyron and @TE_JH for your use reply and helP! I was able to run the PMOD NAV successfully with the Trenz board I'm using. I made the port external and created the wrapper and found the pins needed to be assigned from the wrapper file generated. And was able to find the pins from the schematic files given for the board! 

One thing I would mention is to use set_property IOSTANDARD LVCMOS33 for the pins, otherwise Vivado automatically assigns them as 1.8V instead of 3.3V , which gave me a bit of problem. I'm using Vivado 2016.2 .Probably I might write a short description on how to use PMODs with external boards. 

Thanks again!

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...