Jump to content
  • 0

Arty Z7 - use FPGA only


Ovidiu

Question

7 answers to this question

Recommended Posts

Hi @Ovidiu,

The Arty-Z7-20 has a ZYNQ processor. You can design projects without using the ZYNQ processor in VHDL depending on the components you are trying to interact with. There is no disable process that i am aware of. Some of the components on the Arty-Z7-20 are tied directly to the ZYNQ processor and can only be used by the PS like the usb uart and the Ethernet. What type of projects are you trying to do?

thank you,

Jon

zynq.jpg

Link to comment
Share on other sites

Hi @Ovidiu,

Is this here the file you are referring to? I am not sure what this VHDL code accomplishes. I can ask more experience engineers what this code does. If you are making adders, register, multipliers in hdl this should not be an issue with the zynq processor as long as you are not trying to use components directly connected to the Zynq processor like the usb uart.  Here is an XADC demo in Verilog that does not use the zynq processor.

cheers,

Jon

Link to comment
Share on other sites

Hi @Ovidiu,

As @jpeyron said, in Vivado  doesn't exist a disable process to inhibit the Processing System or even the PLL. If you chose for  example to make a simple adder without using PS, you only need to create a new Vivado Project in which you instantiate your HDL components. That's all. You can even create your own UART HDL controller, keeping everything away from PS  by writing everything in HDL code. But this is far away from a simple school project. So, my response to your first question is it that you can create adders, registers or multipliers on Arty Z-7. The file that you linked to, does a couple of instantiations for the PS. But you don't need to take care of that, all the instantiations can be done using a graphic interface or a presets file. But this is in case if you want to use the PS, if you are not using it, you don't need all this presets. If you have any other questions please feel free to ask.

cheers, 

Bogdan

 

 

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...