Jump to content
  • 0

Zybo 7010 Board Part Integration on Vivado 2015.1


Allan

Question

Hello,

I am currently using the Zybo Soc (Z-7010) and trying to get familiar with the Blinking LEDs tutorial. I added the Board files for the Zybo board to the appropraite directories. However, Vivado still does not provide me with the necessary interfaces as  illustrated in the tutorial. 

I went further to the Elaborated Design at the RTL level and connected the appropriate pins for the LEDs. I generated the hardware without any errors, imported it to SDK, programmed the FPGA and run the program for blinking the LEDs. I encountered no errors in the process. However, the LEDs failed to blink after the entire procedure. 

Any possible solution to this problem??? Thank you

Link to comment
Share on other sites

2 answers to this question

Recommended Posts

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...