Jump to content
  • 0

Arty with custom IP


jello_cat

Question

I have Arty A7-35T and I tried following this tutorial (http://www.fpgadeveloper.com/2014/08/creating-a-custom-ip-block-in-vivado.html) to have the Microblaze communicate with custom VHDL. In the C++ file the macro for 'XPAR_MY_MULTIPLIER_0_S00_AXI_BASEADDR' matches what the address editor says. I always 0 from the address that should be the result of the multiplier. I don't know what I'm doing wrong or if anything from the tutorial needs to be done different for the Microblaze.

Link to comment
Share on other sites

3 answers to this question

Recommended Posts

I'm trying to do a project where the Microblaze processor will do a tiny bit of communication with a much larger custom VHDL design. I was trying to get this working as a proof of concept that the Microblaze can communicate to custom VHDL. My block diagram is as follows:

59c44d8daa8f3_BlockDiagram.thumb.png.652e93047ccfd0534656e175611d3099.png

 

The custom multiplier code multiplier_0.vhd my_multiplier_v1_0_S00_AXI.vhd and the IP that I made following the tutorial

59c44d919f4d3_multiplierIP.thumb.png.d7c1d9be2e6d432db68549eace8d436f.png

It seems like I have the wrong address set up for the output of the multiplier because when I run it I do not get a valid result. (First test 0x00020003 was input and second test 0x00020006 was input)

59c44d950419a_MultiplierTest.thumb.png.b79c80a6b8bdbeb446ccfd2ff14d466b.png

59c44d897e674_AddressEditor.thumb.png.f7820e436ae5acdc1388df9fb9477f48.png

 

multiplier vhdl code.png

Link to comment
Share on other sites

Hi @jello_cat,

Here is a forum thread that does our custom ip creator tutorial in VHDL,  I have not seen anything wrong with your HDL.  I will look into this further next week. Another way to get custom HDL code to work with the Microblaze axi system you can use the add a block feature in Vivado 2016.x and up connected to the AXI GPIO. Here is a forum thread that describes how to accomplish this.

cheers,

Jon

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...