Jump to content
  • 0

UART communication protocol in nexys 4 DDR for XADC


cristian_zanetti

Question

3 answers to this question

Recommended Posts

@cristian_zanetti

Do you have a project you are trying to build this for? Specific questions about what you are having trouble with let us provide more useful answers and show us that you have put in a minimum amount of effort doing your own research before asking a question here. Perfectly happy to help if you can give us a little more to go on.

Thanks,

Arthur

Link to comment
Share on other sites

Hi @cristian_zanetti,

Here is a tutorial explaining UART.  Here is a XADC(mostly Verilog) demo on Github with a link to its project page in the REAMME. Here  is the GPIO demo(vhdl) on Github with a link to its project page in the REAMME that has a Uart tx controller. Here is a project done by one of our community members that has the xadc done in VHDL.

cheers,

Jon

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...