Jump to content
  • 0

ARTY - no hardware target is open message


bwheeler

Question

Using Arty, I have new DelL Laptop, Windows 10. Mini usb is connected and arty board  LED lights.Using Vivado 2017.2 I get "no hardware target is open message"  when using hardware manager to auto connect. I have tried with ARTY JP1 jumper on and off and with all three USB ports on PC.

Any Ideas?

Link to comment
Share on other sites

11 answers to this question

Recommended Posts

@bwheeler,

There are a couple of common problems that can result in what you are seeing:

  1. Your cable could be bad.  It might send power, but nothing more.  (The internal cable wires are unfortunately known to break.)  Try using a cable that is known to work on another computer with your laptop.
  2. Or ... (and this is my guess as to what the problem might be) ... you may not have the hardware drivers installed on your laptop.  See this post for a picture of the screen where you need to install the drivers.
  3. Your laptop might not be able to provide the USB power your board needs.  Try using a powered USB hub and see if that helps.  (I've seen this discussed on the forum many times, but I haven't seen that many users who've had this problem.)

Dan

Link to comment
Share on other sites

Hi Dan,

I tried all three  steps you suggested. I am using Vivado  2017.2 and had "install cable drivers enabled" during the installation.

When I use open target in GUI  I get this message.

connect_hw_server
INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121

The "Program Device" option in Vivado is still grayed out.

Also, I get this DRC, Pin  Planning warning but cannot info to find what value to use and cannot find in GUI where to set

  • [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: set_property CFGBVS value1 [current_design] #where value1 is either VCCO or GND set_property CONFIG_VOLTAGE value2 [current_design] #where value2 is the voltage provided to configuration bank 0 Refer to the device configuration user guide for more information.

I have spent many hours and looked at many docs/videos for solutions. Do you have any ideas how to proceed.

Bill

 


 

Link to comment
Share on other sites

@bwheeler,

Ok, this'll get you closer then:

  • Insert into your XDC file the following two lines:
set_property CFGBVS VCCO [current_design]
set_property CONFIG_VOLTAGE 3.3 [current_design]

You can see an example from one of my projects for the Arty here if you'd like to look and compare.

  • The "program device" menu item is always grayed out for me ... until I click on "open target".  Vivado then gives options for what target to open.  I pick the default.  I'm sure there are other things you can select, such as if you have multiple circuit boards connected at once, but the default has worked quite well for me.
  • That brings up a new screen.  At the top of this new screen is a program device link.  That'll bring up a menu (pick the default again--after you pick it, it'll always be your board).  Once you select that, a new window will come up offering you two opens: "bitstream file" and "debug probes file".  I never use the debug probes file, I only set the bitstream file to one I've created.

That should get you a couple of steps closer.  Can't be certain there isn't still a problem, though, until you successfully program your board.

Dan

Link to comment
Share on other sites

Thanks Dan.

  1. Not sure why GUI doesn't have the CFGBVS and ..VOLTAGE items (I copied from your example)
  2. Still no change in that I no hardware message. Some Xilinx doc say to lower TCK freq but never get the window that allows that.
  3. Also, when I plugged in ext 12V this time, smelled burning and pwr good LED momentarily comes on then remains off. I tried this a couple of times. Went back to using USB power and original newest cable has mechanical issue (needed to press arty side cable upward to get pwr to remain on). When back to original USB cable and things power stays on.
  4. Below is my xdc created by 2017.2 GUI except I had to edit in last two lines
set_property PACKAGE_PIN C9 [get_ports sw1]
set_property PACKAGE_PIN D9 [get_ports sw0]
set_property PACKAGE_PIN H5 [get_ports ld4]
set_property PACKAGE_PIN J5 [get_ports ld5]
set_property PACKAGE_PIN T9 [get_ports ld6]
set_property IOSTANDARD LVCMOS33 [get_ports ld4]
set_property IOSTANDARD LVCMOS33 [get_ports ld5]
set_property IOSTANDARD LVCMOS33 [get_ports ld6]
set_property IOSTANDARD LVCMOS33 [get_ports sw0]
set_property IOSTANDARD LVCMOS33 [get_ports sw1]
set_property CFGBVS VCCO [current_design]
set_property CONFIG_VOLTAGE 3.3 [current_design]
 
Bill

 

Link to comment
Share on other sites

@bwheeler,

Mind if I ask @Bianca to step in here?  She handles problems such as the one you are dealing with now much better than I can.

As for adjusting the JTAG speed, that's hidden pretty well.  You'll need to implement your project and then open the implementation.  While the implementation is open, there's an item that magically appears in the tools menu called "Edit Device Properties".  (Not the greatest GUI design if you ask me to have this hidden so well.)  This will bring up a device properties configuration screen.

Under the general tab, the bitstream compression is often quite useful--although irrelevant to your current problem.

Under the configuration tab that pops up, you can set the configuration rate to 33MHz (what I've been using for a recent Arty project--worked well for me).  Most of the rest should be set to the defaults.  (Gosh, I can't remember changing the 33MHz value--that migth've even been a default as well.)  Eventually, if you want to save a configuration to the flash and load that on power up you'll want to configure your device via 4x SPI (eventually--once you get past the JTAG config struggle you are having), that'll use the SPI with a bus width of 4, and the SPI Master 4x configuration mode.

The rest of this configuration setup you can pretty much come back to when you are ready to learn more.  Leave things at the defaults for now, and you'll do well.

Dan

Link to comment
Share on other sites

@bwheeler,

While we wait on a Digilent stafff member (@Bianca, or even @jpeyron ...) I put a list together of the most common Digilent help requests here.  You might want to browse the other help requests under the "actual hardware problems", "device not found" section.  I think you'll find, if not the solution, at least the next piece of data that the staff will be looking for.

Dan

Link to comment
Share on other sites

Hi @bwheeler,

I'll step in just a bit and maybe @jpeyron might troubleshoot further with you and I'll take over tomorrow if it's not solved by then. To make sure I understand, you opened the device manager and it says "No target open" which is normal, then when you clicked on auto connect you didn't have the variant of programming the device. 

After INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 it needs to go further and and open the hw target but I guess it just latches there and this is why you don't have the option of programming the device. Please send me a screenshot from Vivado to see exactly how does look your local host. I will need also o sceenshot from your device manager to see if the board is recognized by the computer at all. Please open the device manager, without the board connected through USB and then power it on and see if something does appear and what.

You said that you have a new laptop? So if I get this straight, you had the Arty for a longer time, worked on another laptop/PC but does not work on your Dell. Is this right?

-If that's right, I need to know if you used the same version of Vivado on the other laptop you had. I'm also thinking of a Vivado bug so If you could try with a more stable version like 2016.4 would help me a lot. 

-If it's not, please try to connect your Arty to another computer and see if the problem persists. 

Best regards,

Bianca

Link to comment
Share on other sites

Dan, Bianca,

Just bought a new cable. Problem fixed (as Dan thought). Not sure why other two cables did not work. I suggest Diligent's suggest cable to be bought with board.

Also, any suggestions for remedy of using external power (remember, I have connected 12V to ext pwr several times but last time I smelt burning, when I replug i, pwr led momentarily lights then remains out). From schematic in ARTY ref manual, it looks like ext pwr is used by ADC only or if I need more current than USB can supply.

Thanks Dan and Bianca for your helop,

Bill

Link to comment
Share on other sites

Hi @bwheeler,

I am glad to hear your arty board is working with usb power. I have passed on your suggestions for the usb cables to the appropriate people.  With the external power first make sure the jumper J13 is set to reg. J13 is directly above the external power plug on the top of the Arty board.  Does the power led ld11 turn on?  Then can you measure with a DMM what voltages you are getting at C165  and C166 which are near IC12. IC12 is on the top of the board below Pmod Port JB. Can you also measure the voltage at C162 and C159 which are near IC11. IC11 is on the top of the board directly to the right of the external power plug. 

thank you,

Jon

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...