Jump to content
  • 0

How to Design Pmod TMP3?


tirotry

Question

7 answers to this question

Recommended Posts

@tirotry,

Well then ... at this point I would need to wait for someone else on the forum (@jpeyron perhaps?) to answer your question: I don't have any zynq boards, zybo or otherwise, nor do I have any experience with getting software up and running on them.

Had you needed a simple I2C controller, I would've pointed you to this one that I just recently put together.  Had you needed a simple UART controller to "talk" to teraterm, I would've pointed you at this one.  Indeed, if you were trying to do this from a chipkit board, I might've tried working the project with you as I have a ChipKit WF32 on my desk.  But ... zynq is still a touch beyond my current background.

Using Google, I did find this thread that might offer some insight.  Perhaps that will help you?

Dan

Link to comment
Share on other sites

Hi @tirotry

Assuming that you specified Zybo as your project target board all you need to do is the following:

1) click on the tab "Board". In result you should see a tree of all external ports

2) select the Pmod connector which you are using with your PmodTMP3 and click right mouse button. In result you should see an option "Connect board component" and PmodTMP3

3) select it and in result you should see that a change of the port icon indicating that the port is connected.

That is all.

I am not sure what is wrong with the clock connection. Did you use auto connection tool?

 

Link to comment
Share on other sites

Hi @tirotry,

I have not gone through the xilinx tutorial you linked but looking at your design you should only need to drag the pmod port when selecting boards to the pmodout of the PmodTMP3. We are in the process of updating our Using Pmod IP tutorial here. I would go suggest to go through the getting started with Zynq tutorial that is on our resource page here as well. Once you connect the pmod port to the pmodout then validate the design, create a wrapper with vivado's managing. Next generate a bitstream. Then you export hardware w/bitstream and launched SDK. In SDK create an new application using the hello world template. Next i copy the contents from the main.c in the examples folder found in the vivado library folder in your computer (path: vivado-library-master\ip\Pmods\PmodTMP3_v1_0\drivers\PmodTMP3_v1_0\examples) and past of the contents in the helloworld.c file under src in the application. You next program the board and launch  hardware(system debugger). You data should now be showing in teraterm. Remember the baud rate should be set to 115200. I have attached a screen shot and my completed but not tested project using the PmodTMP3, Zybo with vivado 2016.4.

cheers,

Jon 

Zybo_PmodTMP3.zip

zybo_pmodtmp3.jpg

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...