Jump to content

Arty UART on non-ftdi port


spfoster

Recommended Posts

Hi,

Is there any example code anywhere of how to initialize and use additional pins on the arty board for things like Uarts or I2C? Obviously some of this stuff would need to be supported to use the arduino headers but I can't figure out how to do it in the SDK.

Any help is appreciated.

Scott

Link to comment
Share on other sites

@spfoster,

The OpenArty design uses two additional UARTs, one for the PModGPS and another for the PModUSBUART.  Although I2C with that design is done via GPIO from the ZipCPU, I also just got an I2C core up and running for another project I'm on.  (Sadly, the I2C core is not yet documented :(, even though all the code is posted online.)  The design also supports an SD card and an OLEDRgb peripheral, and has a working network port.

Dan

Edit: I should also mention, the OpenArty design doesn't use the Xilinx SDK ...

Link to comment
Share on other sites

Thanks for the information Dan. I will look into that. I am trying to figure out how to make a system that can be programmed in C using the SDK so I can do the hardware design and let someone else program the microblaze based on existing RTOS code. I will look at OpenArty and see how it looks.

Link to comment
Share on other sites

Hi @spfoster,

Here is a thread that uses the uartlite IP to a external pins that are constrained by the xdc. I've made and attached a vivado 2016.4 project that constrained the rx and tx of the uartlite IP on the Digital I/O of the chipkit header. I also added the spi and I2C for the chipkit header. Here is the reference manual for the Arty. In section 11 it shows where scl and sda for the I2C and the miso mosi sclk and ss for the SPI are. There are examples of how to use the different communications in SDK once you have made a new application in the bsp. I have included a screen shot of this. 

cheers,

Jon

arty_uart_i2c.zip

UART_SPI_I2C.jpg

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...