Jump to content
  • 0

Basys 3 seven segment display


fhhull

Question

o I have a question... I am trying to use my basys 3 fpga board to complete this assignment for my class... the problem is that the class is using the spartan 3 board, and ISE design suite... I have tried many times to download the ISE software but the thing does not work because of the licensing... attached  below is my lab... I have written the VHDL  code for the outputs as follow:

W <= (NOT A AND D) OR (A AND NOT D) OR (B) OR (C);

X <= (NOT A AND NOT C AND NOT D) OR (A AND D) OR (B AND NOT D) OR (C AND D);

Y <= (B OR NOT D) AND (NOT C OR D);

Z <= ( A OR B OR NOT D) AND ( NOT B OR C);

now when I am trying to match this to the master xdc and I am  trying to use switches 0-4 for the inputs a-d, and I need to use the 7 segment display to represent the outputs as it is in the attachment... It is not working or I dont know how to do it... can anyone help me please

Lab4 – Numeric Braille Writer Spring 2017.pdf

Link to comment
Share on other sites

3 answers to this question

Recommended Posts

Hi @fhhull,

You can only use Vivado with the basys 3 but you can use the same vhdl code.  so A,B,C,D will be the for switches and W,X,Y, Z will be the different points on the 7 seg display. So here is a tutorial using the 7 seg display module with a 4 bit input(switches). You will need to change the what pins are on based on you truth table. you will need a counter that runs a 4-1 mux as well as the anodes. The 4-1 mux will then need to be connected to the 7-seg-display module which is then connected to the 7-seg. Your design should look something like the design in here.

cheers,

Jon 

Link to comment
Share on other sites

On 4/25/2017 at 6:01 AM, fhhull said:

o I have a question... I am trying to use my basys 3 fpga board to complete this assignment for my class... the problem is that the class is using the spartan 3 board, and ISE design suite... I have tried many times to download the ISE software but the thing does not work because of the licensing... attached  below is my lab... I have written the VHDL  code for the outputs as follow:

W <= (NOT A AND D) OR (A AND NOT D) OR (B) OR (C);

X <= (NOT A AND NOT C AND NOT D) OR (A AND D) OR (B AND NOT D) OR (C AND D);

Y <= (B OR NOT D) AND (NOT C OR D);

Z <= ( A OR B OR NOT D) AND ( NOT B OR C);

now when I am trying to match this to the master xdc and I am  trying to use switches 0-4 for the inputs a-d, and I need to use the 7 segment display to represent the outputs as it is in the attachment... It is not working or I dont know how to do it... can anyone help me please

Lab4 – Numeric Braille Writer Spring 2017.pdf

Hi @fhhull,

I made a very detailed tutorial on how to control the 4-digit 7-segment LED Display on Basys 3 FPGA board: 7-segment display Basys 3 FPGA tutorial

Hope it helps.

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...