I have an Input (just connected to a switch on a basis 3 board) that I am trying to add a 20 ns delay to. I have been searching around online trying to exactly figure out how to do that when adding into the constraints file.
with a 12 second nanosecond delay.. but I can't seem to figure it out.. can anyone explain to be how the syntax of this works? I can't figure out the max and min and how I could set this delay to the positve edge of the input on this chip
Question
joemost
Hi eveyone,
I have an Input (just connected to a switch on a basis 3 board) that I am trying to add a 20 ns delay to. I have been searching around online trying to exactly figure out how to do that when adding into the constraints file.
I tried something like this:
set_property PACKAGE_PIN W5 [get_ports clk_out1]
set_property IOSTANDARD LVCMOS33 [get_ports clk_out1]
create_clock -period 20.000 -name clk [get_ports clk_out1]
set_input_delay -clock clk -max 12.000 [get_ports SW15]
set_input_delay -clock clk -min 1.000 [get_ports SW15]
with a 12 second nanosecond delay.. but I can't seem to figure it out.. can anyone explain to be how the syntax of this works? I can't figure out the max and min and how I could set this delay to the positve edge of the input on this chip
(In this case in SW15 is the input port)
Link to comment
Share on other sites
1 answer to this question
Recommended Posts
Archived
This topic is now archived and is closed to further replies.