Jump to content
  • 0

Zybo led project with vivado- bitstream not generated


s224071

Question

Hello,

I'm a beginner in FPGA projects. I've got a zybo board and I'm trying to create a simple led control using Vivado, following this tutorial: http://www.dbrss.org/zybo/tutorial1.html

I followed all the steps, but when I try to create the bitstream, the process fails. I attached the report of the failed bitstream generation. Can somebody help me to figure out what I'm doing wrong?


 

report.txt

project_3.xpr

Link to comment
Share on other sites

5 answers to this question

Recommended Posts

Hi @s224071,

I was able to get through the vivado portion of this tutorial without an issue. I was not able to get the led's to blink. I then went back and used the board files for the project instead of pointing at the specific fgpa part. With the the gpio block i have it point to the led_4bts as shown in step 4.4 of our getting started with zynq tutorial here. This tutorial also has a link to how to install the board files. I have attached my project as well as the sdk file(hello_world.c). I used the hello world app instead of the empty app in sdk as well.  I am now able to get the led's to blink. Here is the resource page for the Zybo. Here is out github with project available for the zybo as well as IP core for most of our Pmods.

cheers,

Jon

LED_zybo.zip

helloworld.c

zybo_block_design_led.jpg

Link to comment
Share on other sites

Hi @s224071,

Here is the zybo resource page that has some tutorials like the getting started with zynq. Here is our resource page for vivado. Here is our github dealing with the zybo. Here and here are some basic websites for different HDL designs. Here is a link to some great basic information about digital design as well.

cheers,

Jon

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...