Jump to content
  • 0

Default nettype


D@n

Question

I recently came across this code piece,

`default_nettype none

I've never used it before, but several web sites and individuals I've personally talked with have recommended it to me as a way of avoiding those cases where Vivado does the wrong thing with my code.

For example, I've had problems with Vivado doing strange things to my code when I don't pre-declare variables properly, problems that can be hard to find and track, and this little one-line change, added to a Verilog file should fix that.   I intend to be trying this out soon, and I'd commend it to anyone who hasn't seen this before.

Thought I'd share,

Dan

Link to comment
Share on other sites

2 answers to this question

Recommended Posts

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...