Jump to content
  • 0

FPGA -ADC interface


Ananthan

Question

Hi,

I would like to interface fpga with adc. From the data sheet of ADC I came to know the conversion time is 14 sclk and the adc gives each bit in the falling edge. I have written verilog code for the rising edge count but, not getting correct output. Plz help me.

Link to comment
Share on other sites

1 answer to this question

Recommended Posts

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...