Jump to content
  • 0

xilinx 9.0 IFFT core problem


rajendra

Question

Dear sir,

I am using xilinx FFT 9.0 IP core in Vivado 15.2 for my application, I am computing 512 point IFFT with cyclic prefix using this IP core but output is not coming correctly. Although most of the output samples are correct but some samples are changing drastically. I am using this IP core in real time mode and giving 512 complex symbol at the input of core on every clock after s_axis_data_tvalid and s_axis_data_tready becomes high. Earlier I have used xilinx FFT 7.1 IP core in ISE14.6 which working fine with same settings and same input data. Kindly help me to debug this IP core

Link to comment
Share on other sites

3 answers to this question

Recommended Posts

@rajendra,

Please see the advice in this thread for debugging FFT's.  If you still need help, you'll need to tell me more about what you are doing, where your code is failing, and how you know it's the FFT failing and not anything else.  I may also ask you how you know that each non-FFT component of your design is working.

FFT's are actually fun little beasts to debug.  Should you wish to try something other than Xilinx's FFT, you might try this one here.  (It's mine, and I'm kind of partial to it ;) )  When I needed to debug it, though, I made certain I placed into the FFT some very particular test cases: filling all the data with a sine/cosine wav, or filling the data with zeros save for an impulse somewhere.  Carefully adjusting the scale on the sine wave or the impulse can also flesh out problems with the FFT.  You might even find my FFT easier to implement and test apart from Xilinx's tools--should you wish to try that.

Here on this forum, though, most of the problems I've seen to date haven't been due to failures of the FFT core--but rather failures to either 1) feed it properly, or 2) consume the data from it properly.  Hence ... my reasons for expanding the question to the code you have surrounding your FFT.

Dan

Link to comment
Share on other sites

Hello sir,

I have implemented FFT of sine wave using "FFT IP core". It gives me exact frequency of the input. But when i tried to implement IFFT of that output using "FFT IP core with configuration value 8000H", output is not again sine wave in time domain. Could you please help me to sort this out?

Thanks and regards 

 

  

 

2019-10-03.png

2019-10-03 (1).png

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...