Jump to content
  • 0

Xdc Constraints Errors?


Korken

Question

Hi all,

 

I have been following the Zynq Book for learing the Zynq and I have a ZYBO board.

When I try to implement a design I always get 8 errors as: "[Common 17-55] 'set_property' expects at least one object. ["ZYBO_Master.xdc":48]".

These errors are where I have uncommented the LED set_property lines.

 

Could someone explain to me what this means and how I fix this?

I am using Vivado 2014.4 on a Win7 Pro 64-bit machine.

 

Link to ZIPed project: https://www.dropbox.com/s/las31dn5jceqgzi/first_zynq_design.zip?dl=0

 

Thank you for your time!

 

Best Regards

Emil, Sweden

Link to comment
Share on other sites

5 answers to this question

Recommended Posts

Okey, I made a last resort try.

I changed the HDL wrapper for the block design to be VHDL (which I am more comfortable with), generated the bitstream and programmed the Zynq.

 

And poof, everything is working! :D

If I change back to the Verilog wrapper it stops working again. I could reproduce both on 100% new projects as well.

 

Not sure what is making it go strange but I found a workaround.

Link to comment
Share on other sites

Okey, I found the problem.

It comes from the block editor not giving the interface the same name as I gave it.

If you dig into the wrapper file the leds are defined as: led_tri_o[xxx] and defined as led[xxx] in the constraints file. Beginners mistake.

 

 

With this fixed I can get 3/4 LEDs to light up when I connect it to a constant (not GPIO block) which is strange.

I just used a size 4 constant vector block to connect to the LEDs and 3 of 4 light up.  :huh:

 

If I just pretend I didn't see that I tried to access the LEDs using the GPIO example from the Zynq Book, however no LEDs light up when using it.

At this point I am at a loss. I'm not sure how to continue as it works (or 3 of 4 LEDs work) with a constant but not when connected to a GPIO block.

 

How would you start to attack this problem? 

 

 

EDIT: Even more strange, if I create a standalone VHDL file that lights up the last LED it works, but not when I use the constants block... Very strange.  :unsure:

Link to comment
Share on other sites

I believe so.

 

Code from constraints file:

##LEDs
##IO_L23P_T3_35
set_property PACKAGE_PIN M14 [get_ports {led[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]

##IO_L23N_T3_35
set_property PACKAGE_PIN M15 [get_ports {led[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]

##IO_0_35
set_property PACKAGE_PIN G14 [get_ports {led[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}]

##IO_L3N_T0_DQS_AD1N_35
set_property PACKAGE_PIN D18 [get_ports {led[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}]

My system:

post-421-0-06796600-1423076004_thumb.png

 

Can you see something wrong?

If you want to have a thorough look the project is linked in the first post. :)

 

Best Regards

Emil 

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...