Jump to content
  • 0

Zybo Board Definition For Vivado?


jfrenzel

Question

4 answers to this question

Recommended Posts

Korken,

The first two parts of what you are saying is correct, as far as what both board files do. 

 

However, if you go to the board part wiki, and install the board part and run the TCL script it will automatically configure the IP for the Zybo. In other words, going through this tutorial eliminates the need for the ZYBO_zynq_def.xml file. 

 

Read through the board part wiki and see if that helps. 

 

Let me know if you need more clarification.

 

Kaitlyn

Link to comment
Share on other sites

Thanks Korken. What's the difference between the XML file that is in the Board Definition File, linked on the Zybo product page (http://digilentinc.com/Data/Products/ZYBO/ZYBO_def.zip) and the XML file that is part of the boardfiles archive linked on the tutorial? The former (ZYNO_zynq_def.xml) is quite a bit larger (17KB) than the latter (board_part.xml).

Link to comment
Share on other sites

Hi, to my understanding (and I hope someone can clarify if I say something wrong):

 

--- The board_part.xml

This is used to define IO signal names, voltages and IO standard on the board so you can find then in the Block Editor.

 

-- The ZYBO_zynq_def.xml

This is imported to the Zynq IP after you have added it. Just double click it and there is a button for it at the top.

This will configure the Processing System (PS) to work with all the external pars.

For example it sets up the DDR delays, MIO IO type/Slew rates/Pull ups and so on.

 

So to summarize you need the ZYBO_zynq_def.xml to set up the IP core and the board_part.xml is just a definition of interfaces.

This could however be wrong as the board_part.xml calls an TCL script and looking at it it seems to do the same as ZYBO_zynq_def.xml.

However my strongest point is not in TCL.  :unsure:

 

 

This is how I have understood it from looking at the XMLs and playing around a bit. But I can be wrong.

Perhaps Digilent could clarify?

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...