Jump to content
  • 0

PMOD as GPIO


asmao

Question

Hello,

 
I'm currently using a Nexys 4 board and I would like to serially output and receive data.
 
I was wondering if this could be done through a PMOD port? If so, how?
 
Inside of my HDL design (I'm using Vivado 2014.2 and my HDL is in verilog) I'm asserting various PMOD ports yet whenever I try to measure the outputs I got nothing.
 
My HDL looks something like this:
assign JC1 = 1,
           JC2 = 0;
 
Both JC1 and JC2 measure to 0. I've also tried the alternative names listed in the schematics (K2 and E7) as well as other PMOD interfaces.
 
If I can't use the PMOD ports in this fashion, I was hoping to hear any suggestions?
 
Thanks,
Alvin
Link to comment
Share on other sites

1 answer to this question

Recommended Posts

Hi Alvin, 

 

Have you added a constraint to bind the signal to the physical pin? Just giving it the same name as the pin isn't enough...

 

The master constraint file for the Nexys4 can be grabbed from http://www.digilentinc.com/Data/Products/NEXYS4/Nexys4_Master_xdc.zip, and then you will need to add the lines like this to your projects Implementation Constraint file.

 

NET "JC0" LOC = "K2" | IOSTANDARD = "LVCMOS33";
 
This will cause the signal JC0 to be connected on the "K2" pin on the FPGA package, 
 
Mike
Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...