Jump to content
  • 0

How to control voltage output on ZMOD DAC1411 Using Digilent IP ZMOD?


afif.ramadhan

Question

I am currently using eclypse Z7 its Zmod DAC to generate signal. This is the IP I am using, and the IP is recently updated on github (around 20th October 2021).

image.png.661a74d0e7dd3dfb87cd15439bc99c7a.png

Based on the documents provided here, it is told that the expected data input is converted from volt with this equation

image.png.c600c664b35066f27610f1df1f71630e.png

The docs said that

  • CA = factory calibration raw additive constant (for the appropriate channel and gain).
  • CG = factory calibration raw gain constant (for the appropriate channel and gain).

I have check the CA and CG in petalinux using

# decutil enum

and calculated the numbers. Thus I put it in the data through AXI stream using in this configuration

image.png.a67ca33d9fa189af6fd6e4b6bc071ed4.png

While it does outputting something, it is not what I intended to be. Can anyone tell me the correct way to use the IP?

Edited by afif.ramadhan
clarify
Link to comment
Share on other sites

2 answers to this question

Recommended Posts

  • 0

Hello @afif.ramadhan,

I think the parameters are already computed using decutil. See below Quote and example from the IP documentation pdf:

Quote

Decutil reports the factory raw calibration parameters and processed calibration parameters as shown in
Figure 3.

image.png.650e8e137bb0bbeeb995f27fa8d41ef0.png

 

You only have to take the processed calibration values using decutil and feed them to the Zmod AWG controller IP. You can load the values using the IP interface or using the external calibration signals through Constant IP blocks.

Just to clarify something, through AXI Stream only the sample DATA is sent, not the calibration data.

 

Edited by Ana-Maria Balas
Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...