Jump to content
  • 0

Genesys ZU -3EG board Rev. B with Vivado/Vitis 2021.1


moonwalker

Question

Hello,

I have the Genesys ZU-3EG REV B  board and would like to use it with the latest Xilinx tools - Vivado/Vitis 2021.1.

The git repository for the Hello World demo that I want to start with (branch 3eg/master) is apparently for the latest rev. D of the board and version 2020.1 of the Xilinx tools.

Where can I find the Hello World git repo for Rev. B of the board and what is the migration path to use it with the latest Xilinx tools Vivado/Vitis 2021.1?

Thanks in advance!

Link to comment
Share on other sites

1 answer to this question

Recommended Posts

  • 0

Hi @moonwalker,

We do not have 2021.1 materials available for the Genesys ZU 3EG available at this time. The Hello World demo for the Rev D should also work for the Rev B of the board though (as far as I know).

In terms of updating the Hello World demo to a newer version, what you could do is make a new copy of the hw folder containing the Vivado project and then open the xpr in Vivado 2021.1, upgrade the IP that need upgraded (via the Reports -> Report IP Status), and then generate the bitstream and export the project. Once in Vitis, you can import the zipped 2020.1 sw folder from the github release and then update the hardware specification to what you exported from Vivado 2021.1, and then do a build all for the project in Vitis. This process is described in much more detail in the wiki page for it under the Using the Latest Release dropdown in the second half starting with Open a Block design Project in Vivado. You may need to have Vitis get correct linker script locations and where to find the fsbl.elf the process of which is explained in the first half of the Using the Latest Release Dropdown.

Let me know if you have any questions.

Thanks,
JColvin

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...