Jump to content
  • 0

Placement problems with DVI to RGB IP


coldfiremc

Question

Hi

I'm trying to use the RGB to DVI ip to acquire video, and I'm getting placement errors. if I unpackage IP, I, can't find any "conflictive" setting related to placement, in the sense that there isn't any physical pin reference at all and ISERDES instances look good.
I'm providing the 200mhz ref clock to properly drive input delays and I'm not using any external constraint file. How can I fix or check that there isn't anything wrong?

The board (and related configuration) being used is a nexys Video, and compiling with Vivado 2021.1. The rest of the design has a microblaze, some buttons and leds and the DDR3 memory controller. I'm using the latest IP version from the Digilent IP Repo.

Thanks

 

Screenshot from 2021-10-03 23-05-29.png

Link to comment
Share on other sites

11 answers to this question

Recommended Posts

  • 1

If you open the synthesized design and navigate to the InputBuffer cell of dvi2rgb you will probably see the input tied to a constant, which causes placement to complain. Check the block design where the TMDS port of IP is tied to. It must go to a top-level port and it has to be constrained to a pin. If you are using board flow and it connects to a board interface, it should be set. Check the top-level wrapper vhd to confirm the top-level ports are generated for the HDMI/DVI input.

Link to comment
Share on other sites

  • 0
On 10/11/2021 at 6:50 AM, elodg said:

It look like you board flow interfaces are not picked up. Dig further.

After developing a project with this board I noticed that this problem is not only affecting the DVI input IP, but also the clock wizards. Apparently the board file has some inconsistent names. Also the constraints for this IP are a little "Weak" and with a minimum modification, are not applied correctly. Please update the board files. A workaround for this is write constraints manually, but this is not always easy for block designs.

Also there's other problem with rgb to DVI, input frequency is not updated accordingly, so this error appears

[BD 41-927] Following properties on pin /rgb2dvi_0/PixelClk have been updated from connected ip, but BD cell '/rgb2dvi_0' does not accept parameter changes, so they may not be synchronized with cell properties:
    FREQ_HZ = 75000000 
Please resolve any mismatches by directly setting properties on BD cell </rgb2dvi_0> to completely resolve these warnings.

Also incorporating the clock generator inside the ip limit the IP seriously. I think that the integrated clock generator must be discarded to simplify design and allow proper clock settings.
 

Edited by coldfiremc
Link to comment
Share on other sites

  • 0

Ok I found something Interesting

Despite the board file has the I/O pins defined for this interface (and an associated IP), Implementation fails because i/o pins are not fixed. This is very strange considering that to assign a pin explicitly is enough to fix the I/O mapping.

421080336_Screenshotfrom2022-01-1011-20-26.thumb.png.eb9d7568b92088ff2a94b94931745510.png

This is the error (The ISERDES needs an explicit and fixed mapping)

Here's the "anomaly"1914234798_Screenshotfrom2022-01-1011-22-02.thumb.png.55e352b177498abfe0c8be709f601125.png

I will check this further, digging in the Board File. Probably it needs a little fix

Greetings

Link to comment
Share on other sites

  • 0

Thanks for the contributions. Your fixes seem to work for the Nexys Video in combination with rgb2dvi. I will not be merging them now, but scheduling its extension to the dvi2rgb and all HDMI/DVI Digilent board definition files.

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...