Jump to content
  • 0

Arty S7 XADC Pins


memo

Question

Hi there, 

I hope everyone is safe and doing well, and thank you checking out my forum post; I would greatly appreciate your help :D

I was trying to get the XADC working on the Arty S7; I tried setting it up using the XADC IP in Vivado, and while I think I may have properly used the signals the IP has instantiated for me, I'm just a little confused which pins to connect the analog inputs to.

To be more specific, the IP created two signals "vauxp0" and "vauxn0" which I think are the analog inputs:

vauxp0 => Vaux0_v_p,
vauxn0 => Vaux0_v_n,

I suspect these need to be connected to analog input header pins on the Arty S7, and I found two pins called "Vaux0_v_p" and "Vaux0_v_n" in the .xdc constraint file which I connected to the signals above:

## ChipKit Single Ended Analog Inputs
## NOTE: The ck_an_p pins can be used as single ended analog inputs with voltages from 0-3.3V (Chipkit Analog pins A0-A5). 
## These signals should only be connected to the XADC core. When using these pins as digital I/O, use pins ck_io[14-19].
set_property -dict { PACKAGE_PIN B13   IOSTANDARD LVCMOS33 } [get_ports { Vaux0_v_p }]; #IO_L1P_T0_AD0P_15 Sch=ck_an_p[0]
set_property -dict { PACKAGE_PIN A13   IOSTANDARD LVCMOS33 } [get_ports { Vaux0_v_n }]; #IO_L1N_T0_AD0N_15 Sch=ck_an_n[0]

My questions were:

1) Have I connected the IP-generated input signals to the right pins from the .xdc file?

2) If so, which header pins are these on the Arty S7 board? That is, where in the board should I connect my external analog signal to?

image.png.59765d1165940ed8e894d36fe0adfabc.png

Thank you all very much!

Link to comment
Share on other sites

4 answers to this question

Recommended Posts

  • 1

You are correct on both counts; I was looking at vp_in and vn_in rather than vaux0_p and vaux_n which match the B13 and A13 you listed; A13 is ground which you can see as per the "CK_AN0_N" pin on pages 3 and 4 of the Arty S7 schematic, https://digilent.com/reference/_media/reference/programmable-logic/arty-s7/arty_s7_sch-rev_e.pdf.

Thanks,
JColvin

Link to comment
Share on other sites

  • 0

Hi @JColvin

 

Thank you so much for your response, I really appreciate it :) I was able to get it work! 

It seems that the pins I routed to, B13 and A13, are the following:

  • B13 is the header pin "A0" on the board, and when I feed it a voltage between 0-3.3V, my digital output value changed correspondingly.
  • A13 seems to be already grounded on the board, so I didn't need to worry about it. 

Thank you so much for your help!

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...