Jump to content
  • 0

done pin is not high on target fpga eclypse z7


Payam

Question

I just got the Eclypse z7 board. Installed Vivado 2019.1 and built and compiled DAC demo. When I try to program the FPGA I get a message done pin is not high on target fpga. I tried making a simple hello world program and it give me same message although it print the0 "hello world" message on the UART terminal. But I do not see any output on DAC. Any clue what might be the problem.

Link to comment
Share on other sites

1 answer to this question

Recommended Posts

  • 0

Hi @Payam,

I'm not readily able to replicate this error, but from what I and another co-worker of mine recall, here are some things that you can try:

If the done LED on the Eclypse Z7 is turned on (green LED next to the power supply connector) is turned on and SDK is just misreporting this, I would try just closing and restarting the Xilinx SDK software.

If the LED is turned off, you can program the board (through Xilinx -> Program FPGA), though with program that just uses the ARM processor (like a Hello World project), you are able to just run that project without configuring the FPGA side, as you experienced.

If SDK is trying to program the FPGA and failing, you might try to kill the hw_server process in the Task Manager.

With regards to what you should be seeing in the DAC demo, at least in the baremetal project, it should provide a ramp output through an attached Zmod DAC, though it does not look like any output is provided through a serial terminal, at least in the main.cpp (I don't have an Eclypse Z7 board at my home office to test this), though you can add xil_printf statements to the main.cpp to check that the program has data going in a serial terminal.

Let me know if you have any questions.

Thanks,
JColvin

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...