Jump to content
  • 0

ERROR : (XSDB Server)ERROR: [Hsi 55-1571] The design file project_1_wrapper/export/project_1_wrapper/hw/zed.xsa is already opened


skinnypanda

Question

Just got myself a zedboard. says "copyright 2020" on the silkscreen so its fairly new. 
I'm stepping through the instructions here:
https://reference.digilentinc.com/programmable-logic/guides/getting-started-with-ipi

I'm using Vivado v2020.1 (64-bit), and Xilinx Vitis IDE v2020.1.0 (64-bit) because that seems to be the recommended version of tools for that tutorial. I tried a later version and a lot of the screenshots were completely different.

 

When I get into vitis, I select the system project in the Assistant pane, and click the Build button (hammer). I get the following under the "Problems" tab:

Description    Resource    Path    Location    Type
fatal error: xgpio.h: No such file or directory    main.c    /project_1_app/src    line 3    C/C++ Problem
make: *** [makefile:38: package] Error 1    Debug    /project_1_app_system         C/C++ Problem
 

When I look at the Vitis.log tab, I see the following, withi the zed.xsa error at the bottom:

12:45:48 DEBUG    : Registering SDKStatusHandler to handle trace exceptions.
12:45:48 DEBUG    : Registered the core plugin as the backup plugin for storing repository paths.
12:45:48 INFO    : Launching XSCT server: xsct.bat -n  -interactive D:\workspace\temp_xsdb_launch_script.tcl
12:45:48 INFO    : XSCT server has started successfully.
12:45:48 INFO    : plnx-install-location is set to ''
12:45:48 INFO    : Successfully done setting XSCT server connection channel  
12:45:48 INFO    : Successfully done setting workspace for the tool. 
12:45:48 INFO    : Platform repository initialization has completed.
12:45:48 INFO    : Registering command handlers for Vitis TCF services
12:45:48 INFO    : Successfully done query RDI_DATADIR 
12:45:57 INFO    : Checking for BSP changes to sync application flags for project 'project_1_app'...
12:46:03 ERROR    : (XSDB Server)ERROR: [Hsi 55-1571] The design file D:/workspace/project_1_wrapper/export/project_1_wrapper/hw/zed.xsa is already opened
at which point, the Vitis.log stops there.

 

I've seen the "fatal error: xgpio.h: No such file or directory" on other posts this forum and elsewhere, but I have not seen a definitive answer to how to fix it. The only specific "try this" thing I've seen is try refreshing and try going back to vivado and rerun synthesis, implementation, and bitstream. Neither fixed the problem for me.

I followed these instructions to download board files:

https://reference.digilentinc.com/programmable-logic/guides/installation

I copied the "new/board files" because instructions say that is for versions later than 2014.4 

 

 

And when I google for "zed.xsa is already opened", I don't find it anywhere.

any help would be appreciated

Edited by skinnypanda
add tags
Link to comment
Share on other sites

3 answers to this question

Recommended Posts

  • 0

Hi @skinnypanda,

Which Zedboard board file are you using; the one from Digilent or the one from Avnet that is included by default with Vivado?

The fact that one of the error messages you are getting is calling out "zed.xsa" is a potential error as that is one of the built-in .xsa's with Vivado/Vitis and potentially not the one you created and exported in Vivado (the one you created would have a default name of design_1_wrapper.xsa) and would need to be specifically selected. As a test, I made a Zedboard project following the IPI guide and when I select my .xsa I exported, everything works as described in the tutorial, but when I select the pre-made zed.xsa file (i.e. selecting the wrong Xilinx platform file), I get the same errors to what you posted (though different messages in the Vitis log).

What I would probably recommend trying is to close out the Vitis workspace, delete the folder, and then relaunch Vitis from Vivado and ensure the correct .xsa is selected while creating the project.

Also, do you happen to recall which screenshots were different on the later version of Vivado that you had tried for the Getting Started with Vivado IP Integrator Guide? The design flow presented is fairly familiar to me so I usually end up going on "autopilot" and I haven't explicitly gone through it step by step to see what has changed drastically, though I'll make a note to do that later.

Let me know how it goes.

Thanks,
JColvin

Link to comment
Share on other sites

  • 0

I deleted everything and started the tutorial over. I made sure every time vivado asked me to name a file or something, I prefixed it with "vivado_". and every vitis name was prefixed with "vitis_". It gave me a build.
Don't know where, but somewhere I must have named something on top of an existing file and screwed things up quietly but deadly.

Thanks for the help!

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...