Jump to content
  • 0

PMOD MIC3


gabor.zatik

Question

Hello 

I am working on my EE thesis. I would like to use PMOD MIC3, to Logsys Kintex7 FPGA. ( For FFT )

I would like to ask information about the concept of the PMOD MIC3, not the code.

I didn't find any accurate documentation/manual about it.

I know, that it uses ADC7476, SPI protocoll, but I didn't find the connection between them.

I would like to write a VHDL code.

I know there are a lot of code/IP, I would like to get the knowledge. 

 

Thanks in advance,

Have a nice day,

 

Gabor

Link to comment
Share on other sites

2 answers to this question

Recommended Posts

  • 0

Hi @gabor.zatik,

The way that the Pmod MIC3 is set up is that the on-board ADC7476 will continually sample the voltage that the MEMS microphone provides at a sample rate (dependent on the SPI clock frequency provided to the Pmod on pin 4).

Otherwise with regards to the SPI protocol, presuming you are operating the ADC7476 (datasheet link) in normal mode, if you have the chip select line go low and the first subsequent SCLK edge is a rising edge, then the next four falling edges of SCLK will be leading zeros, and the next 12 falling edges will be the 12 bits of the converted analog data with the MSB first.

Let me know if you have any questions about this or if I misunderstood what you were asking about.

Thanks,
JColvin

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...