Jump to content
  • 0

DAC R2R with FPGA


Guest mirabelle

Question

Guest mirabelle

hello every one , i need conversion of a digital data ( BPSK signal) on 8bits to analog signal to visualise on osilloscope  , so  i connect the I/O of FPGA to a home made R2R DAC , but i have a sine wave  on my osilloscope without phases inversion , what is wrong ?? , i want to purchase  a R2R pmod of digilent , is it suficient to obtain my signal or i must add some progammation on vhdl ( SPI  or I2c...) ??

Spoiler
Spoiler

 

 

 

Link to comment
Share on other sites

0 answers to this question

Recommended Posts

There have been no answers to this question yet

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...