Jump to content

VHDL simulation of Accelerometer Tester


Tim S.

Recommended Posts

Hi,

I'd like to mention that I completed a first-draft of a VHDL test-bench / verification environment, for the Accelerometer Tester design previously announced. The VHDL test-bench is capable of executing with the free GHDL simulator on Linux or on Windows Subsystem for Linux. (The MSYS2 version of GHDL on Windows appears to crash.) Take a look. I created the beginning of models for the Pmod SSD (7SD), Pmod CLS, Pmod ACL2--according to my usage of them in my project.

https://timothystotts.github.io/2021/01/08/vhdl-verification-of-fpga-serial-acl-tester-1-with-open-source-tools.html

Regards,

Tim S.

 

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...