Jump to content
  • 0

Questions about Genesys 2 board


Leo_W

Question

Hi digilent,

I have some questions about G2. Could you please help me answer them?

1. Whether the VADJ power domain on the development board can be switched to 3.3V through JP6 (the schematic diagram calculation does not reach 3.3V);

2. Whether the clock pin is specified, or whether the high-speed clock is specified;

3. The MGTAVTT1V2 in the power field is not connected to the socket. Can we use a peripheral 1.2V to refer to whether the timing requirements of the relevant pin are met?

4. Whether GBTCLK_M2C(0-1) and other clock pins can be used as conventional GPIO port.

 

Thanks!

Link to comment
Share on other sites

2 answers to this question

Recommended Posts

16 hours ago, Leo_W said:

1. Whether the VADJ power domain on the development board can be switched to 3.3V through JP6 (the schematic diagram calculation does not reach 3.3V);

Yes it can. This is a screenshot from the reference manual.

image.png.4868bd27ae33d7afcb76908e0a6edd89.png

17 hours ago, Leo_W said:

2. Whether the clock pin is specified, or whether the high-speed clock is specified;

I don't understand this question.

17 hours ago, Leo_W said:

3. The MGTAVTT1V2 in the power field is not connected to the socket. Can we use a peripheral 1.2V to refer to whether the timing requirements of the relevant pin are met?

Don't understand that one either. What does power pins have to do with timing?

17 hours ago, Leo_W said:

4. Whether GBTCLK_M2C(0-1) and other clock pins can be used as conventional GPIO port.

No, these are dedicated input-only pins for transceiver clocks. But not to worry - there are 160 other pins that you can use as GPIO.

Link to comment
Share on other sites

Consider the transceiver subsystem to be an enclave within the FPGA. All of the pins and clocking are dedicated to the transceiver quads. The Genesys2 has a 135 Mhz transceiver clock dedicated to the quad that is connected to the mDP input/output transceivers. It also has clocking connections to the FMC connector dedicated to the transceivers on the FMC connector. The mDP design for the Genesys2 is a convenient way to use the transceivers.

The FMC connector is a HighPinCount interface that is well designed. But don't assume that any mezzanine board with and FMC connector is 'plug and play' with the Genesys2.

I'm curious as to why you'd want or need to co-op the transceiver pins for general purpose fabric use. There are plenty of clock capable pins on the FMC connector for additional clocking. Same for the GPIO/LVDS pins.

While the Genesys2 differential PMODs suffer the same fate as all of Digilent's other boards, that is the IO is connected to a bank powered by a fixed 3.3V, at least a few of the pins are clock capable making these connectors a bit less useless. From what I can tell, length matching is reasonable for most applications and the traces are not differential. This means that common-mode noise performance isn't ideal for TMDS-33 applications but if you want to use the connectors as single-ended LVTTL33 or LVCMOS33 coupling is less of a concern. For ages the HSMC standard has allowed length matching without differential routing as a mid-performance compromise between true differential signalling and single-ended signalling to allow for more flexibility in supporting a range of add-on boards. 

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...