Jump to content
  • 0

Basys3 - adding SPI J1 Pinout via the JB Pmod header


eao

Question

I have connected a Pmod OLED 128 x 32 Pixel Display to the Basys3 Pmod JB ports.
How do I assign the SPI J1 Pinout (https://reference.digilentinc.com/reference/pmod/pmodoled/start) of the PmodOLED to the Pmod Header JB in the XDC file.

Can some one help?

Link to comment
Share on other sites

1 answer to this question

Recommended Posts

I apologize for the long delay.

If you are using a block design flow with the Pmod OLED IP, Vivado will automatically take care of the .xdc portion of the project for you through the Digilent Board Files.

If you are manually assigning the pins, you will only need to change the names of the pins listed after the "get_ports" portion of the JB in the Basys 3 xdc file, https://github.com/Digilent/digilent-xdc/blob/master/Basys-3-Master.xdc#L152, to match what you name them in your design.

Thanks,
JColvin

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...