Jump to content
  • 0

Vivado 12-1411 Cannot set LOC property of ports.


LenR

Question

I got three critical warnings about setting pins.

port reset_0 can not be placed ... because it is occupied by port reset

port sys_ clock can not be placed ... because it is occupied by port sys_clock_1

port reset_0 can not be placed ... because it is occupied by port reset

Yes, the first and last are duplicates, but it is telling me I can override the board assignments, but it was all generated by Vivado.

I placed a Micro Blaze in the design then went to the board dropdown and added almost all the stuff on the board, running the helper after each. Validation was good and it would generate the four outputs for moving to Vitis, but then if I asked it to generate an implementation I would get these failures. Any ideas?

Place design ERROR.png

Link to comment
Share on other sites

1 answer to this question

Recommended Posts

This morning I started a new project, created a MicroBlaze ran the automation wiz and added the items that were listed under board each followed by running the wiz when it was available. I got the same error about not being able to put reset_0 where reset was so I looked at the block diagram and sure enough both were there as inputs. I deleted reset and connecting the destinations from that to reset_0. Problem solver. I have another about putting the Pmods into the diagram, but I will start another question for that. 

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...