Jump to content
  • 0

Zybo z7_20 hdmi out for SDx 2017.4


Laumont

Question

Hi!

I'm a software developer not used to working with FPGA's and I have a video application algorithm on SDSoC 2017.4 for my Zybo Z7_20 reVISION platform. In this video app I read a video from the sd_card data and process it, then write into the sd_card the images of each frame proccessed.

I wanted to stream out the processed frames through HDMI but I don't know how. I've been looking a Filter2demo to see how the video stream is displayed on the screem throught hdmi, but I don't really understand because it uses a drm controller and buffers and I don't know how to change it to make it read my video frames instead of reading the hdmi input and then displaying them.

Can anybody help me?

I attach the project I'm trying to change. Thanks!!!

 

pca_stream.zip

Link to comment
Share on other sites

12 answers to this question

Recommended Posts

 Hello @Laumont,

With this kind of project with SDSoC, since you're using some demo sources from Xilinx, I think that maybe you'll find more help on the Xilinx forum. 

However if you're thinking of trying some video processing in Vivado HLS, I can ask someone to give you some guidance, otherwise I don't have experience working with SDSOC. I'll ask around about this.

Link to comment
Share on other sites

8 hours ago, Ana-Maria Balas said:

 Hello @Laumont,

With this kind of project with SDSoC, since you're using some demo sources from Xilinx, I think that maybe you'll find more help on the Xilinx forum. 

However if you're thinking of trying some video processing in Vivado HLS, I can ask someone to give you some guidance, otherwise I don't have experience working with SDSOC. I'll ask around about this.

Hi @Ana-Maria Balas I've been trying to achieve an answer on Xilinx forum but no one answered.

Vivado HLS works as well because I think I can incorporate the project into SDSoC afterwards, so please I'd be very thankful if you'd ask someone for help! ☺️

Thanks!

Link to comment
Share on other sites

49 minutes ago, BogdanVanca said:

Hello @Laumont

I'm sorry for my late reaponse. Do you want to now how to drive the HDMI output on Zybo Z7, or how to read from the sd card and go on from there towards the HDMI output and monitor? 

Hi @BogdanVanca ! Thanks for replying it’s not too late, I have an algorithm that process a video from the sd card in the Zybo, and what I want to do is: instead of saving the processed frames again on the sd card, I want to see them through the hdmi on the screem. So i can see real time the fps I can achieve accelerating the algorithm and how the algorithm works.

thanks so so much!

Link to comment
Share on other sites

Hello @Laumont,

 

Ok @Laumont, Sorry again for my late response. I promise to you that we will have a more consistent correspondence.

What would you say to start from the existent project that we have on our github for Zybo Z7 and Pcam 5C. We can eliminate the parts that you don't need and add the parts that you are working on. For example we can simply eliminate the entire MIPI-DPHY -> MPICSI-RX->AXI Bayer->Video Scaler and keep the rest. This will solve the HDMI output problem. After that we can focus on how to read data from the SD card and transferring the data trough the AXI Stream to Video Out IP.  If you are ok with this, please clone the existing project and I will assist you with the entire procedure. 

See this link: https://github.com/Digilent/Zybo-Z7-20-pcam-5c?_ga=2.209663958.539836595.1590612175-344321249.1542820016  

Link to comment
Share on other sites

9 hours ago, BogdanVanca said:

Hello @Laumont,

 

Ok @Laumont, Sorry again for my late response. I promise to you that we will have a more consistent correspondence.

What would you say to start from the existent project that we have on our github for Zybo Z7 and Pcam 5C. We can eliminate the parts that you don't need and add the parts that you are working on. For example we can simply eliminate the entire MIPI-DPHY -> MPICSI-RX->AXI Bayer->Video Scaler and keep the rest. This will solve the HDMI output problem. After that we can focus on how to read data from the SD card and transferring the data trough the AXI Stream to Video Out IP.  If you are ok with this, please clone the existing project and I will assist you with the entire procedure. 

See this link: https://github.com/Digilent/Zybo-Z7-20-pcam-5c?_ga=2.209663958.539836595.1590612175-344321249.1542820016  

Hi @BogdanVanca don't worry I'm glad you answered! I'm using your github Zybo Z7-20 reVISION platform that has the filter2d demo for PCAM (https://github.com/Digilent/reVISION-Zybo-Z7-20 ) . Could we use that one instead? That is because the git you sent me it's for Vivado 2018.2 and I'm using SDx 2017.4, (if not I've seen that there's a 2017.4 release for the link you shared ) but of course I'm ok with this and with your assistance, I'm so thankful!  

Link to comment
Share on other sites

3 minutes ago, BogdanVanca said:

Hello @Laumont,

 

I've just finished the bitstream for a simple Test Pattern Generator to VDMA on Zybo z7 and the HDMI output. Right now I'm working on the software part, and after that I will send you the project.  I don't have a Zybo, so you would need to test it for me. 

Hi @BogdanVanca,  Thanks so much thats perfect! I will be attentive to your answer and I'll try it for you.

Thanks so much,

Laura.

Link to comment
Share on other sites

I'm sending you the sdk workspace together with the handoff.  Please open sdk workspace, and at the same time delete the existent metadata. It will be regenerated after you start your own Xilinx SDK. Please tell me if it works. You should see a color bar on your screen. 

sdk_workspace.rar

@Laumont, if you have any problems, please let me know.

 

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...