Jump to content
  • 0

Microblaze GPIO tutorial


kypropex

Question

Hi,

I have a friend who has bought a Basys 3 and has done some great projects in HDL, but he wants to step it up a notch and try something with MicroBlaze. Although I'm willing to help him I don't have the time for it so I thought about sending him some tutorials on MicroBlaze and GPIO using block design and Vivado.

The only problem is that there are no coherent tutorials for this and the ones I found to be decent where for EDK. To be clear I'm not looking for a board specific project, all I want is on tutorial which shows a beginner how to use a GPIO with MicroBlaze in Vivado.

Do you have something you could recommend or a tutorial which you are working on? Anything compact and simple with MicroBlaze an AXI GPIO some SDK code and steps on how to set it up will be of grate help. 

Cheers,

K

Link to comment
Share on other sites

2 answers to this question

Recommended Posts

Hi kypropex,

I personally wasn't able to find a nice tutoral for what you're looking for, but I did find these two tutorials on our Wiki (here and here) that go through getting started with MicroBlaze and getting a base system design setup (premade code) on the Arty board so you can get an LED strip going. I'll ask some of our applications engineers to see if they know of anything that is more suited towards a beginner designing things themselves.

Thanks,
JColvin

Link to comment
Share on other sites

Hi Kypropex,

I have found a tutorial online here that is a simple Microblaze implementation using the AXI GPIO to blink leds and read switches on the Nexys 4. The tutorial also includes SDK code for you to use. Using a base system design that you'll create in one of the links that JColvin provided, you can follow along with the tutorial. This should give you a nice understanding on how to use Microblaze and the GPIO of the Basys 3 as part of a Microblaze project. 

If you have further, we'll be glad to help you out further,
Andrew

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...