Jump to content
  • 0

'mipi_csi2_rx_top' has undefined contents and is considered a black box


shantaramj

Question

Hello,

We are tried to test zybo Z7-20 pcam-5c example project with vivado 2018.2 but we are getting following implementation error.

[DRC INBB-3] Black Box Instances: Cell 'system_i/MIPI_CSI_2_RX_1/U0' of type 'mipi_csi2_rx_top' has undefined contents and is considered a black box.  The contents of this cell must be defined for opt_design to complete successfully.


can anybody help us with this? any information will be useful.

thanks.

Link to comment
Share on other sites

2 answers to this question

Recommended Posts

Hello @shantaramj,

The IP has it's own constraints and set-ups that would fail if you reset them in a different Vivado Version. Please use the recommended version of Vivado for this project, go trough syntesys, implementation and generate bitstream. If everything works as expected you can open the project in 2018.2, but please make sure that you don't reset the output products for this ip. If you need although to go trough a reset, please lock the ip before doing that.

 

Best Regards,

Bogdan Vanca 

  

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...