Jump to content
  • 0

Getting pmod ports into a block diagram


snohomish77

Question

Hello,

I have combed through the forums but still have not found the answer I am looking for.  I have the Arty board and Vivado 2015.4.  I can create a project and I can select the Arty board in that process.  I create a Block diagram on which to start building my 'circuit'.  At this point I try two different ways to get a pmod port on the board:  I click on the 'Board' tab and I find all the input and output ports (led, led_rgb, buttons, switches, spi, etc and Connector JA thu JD).  I try and bring a JA onto the block diagram and get a message  "Conn JA board component cannot be connected because no possible options to connect".  Second thing I try is to click on 'Add IP' and select AXI_GPIO.  Double click that and have the option to re-customize this block.  Under 'Board Interface' is a drop down menu with , again, all the input/output items on the Arty board, but no pmod ports.  I have tried this with and without bringing in the latest constraints file (from Github) with the same negative results.  What does it take to get the pmod ports (Connectors JA-JD) on the block diagram?  Switches and buttons and leds do move to the Block Diagram and they create an AXI block when they do. 

So what am I missing?

Thank you for your time and help.

Link to comment
Share on other sites

6 answers to this question

Recommended Posts

Hi snohomish77,

I'm sorry for taking awhile to get back to you; I have asked some of our applications engineers to take a look into this since the board files should all be up to date, but evidently that's not what you are experiencing.

Thanks,
JColvin

Link to comment
Share on other sites

Hi Snohomish,

I was a bit confused at first, because I was having the same issue that you described. I asked around and found out that you'll need to download this repository and follow what the README.md says. I did so and was able to drag a Connector JA component into my block design with no hitch. 

Try this and please let me know if it works for you or not.
Andrew

Link to comment
Share on other sites

Andrew,

Yes, this works.  Thank you

I am surprised this ability of adding pmod ports was not already part of the general files one downloads for Arty, but with your post now, the answer is available should someone else need it.

I am curious as to what the repository did to add the pmod ports?  Un-commenting the pmod lines in the constraints file did nothing.

Again, Thank you

Snohomish77

Link to comment
Share on other sites

I did as Andrew suggested in his April 7th 2016 post. I was able to drag a Connector JA component into my block design but when I generate Bitstream I get this critical warning message:

 [IP_Flow 19-4965] IP pmod_bridge_0 was packaged with board value 'digilentinc.com:arty:part0:1.1'. Current project's board value is 'digilentinc.com:arty-s7-50:part0:1.0'. Please update the project settings to match the packaged IP.

Any suggestions?

Thanks

Alistair

Link to comment
Share on other sites

Hi JColvin,

Thanks for getting back to me on this. I'm feeling a bit embarrassed now because I got around this issue last weekend without updating this forum. Sorry about that.  I have now made great strides with the Arty/Vivado and the MicroBlaze.

My project does not need the MicroBlaze so I'm doing everything with Verilog.

I find Vivado very slow for checking Verilog code and have started using Icarus with GTKWave for debugging code and viewing wave-forms before loading into Vivado and onto the Arty-S7

Thanks again for your help,

AlGee

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...