Jump to content
  • 0

cannot stop synthesis run Advanced I/O Demo on Nexys 4 DDR


wintermayr

Question

Have anyone tried successfully to run the advanced I/O demo on nexys DDR ?

https://reference.digilentinc.com/nexys4-ddr:userdemo

I follow the instruction to create the project, when I run synthesis, it just cannot stop in the procedure....

I got three warnings.

WARNING: [Vivado 12-4148] The synthesis checkpoint for IP 'C:/FPGA_project/Tutorial/Nexys4DDR-master/Projects/User_Demo/src/ip/PxlClkGen/PxlClkGen.xci' is not generated and IP is locked, no out-of-context (OOC) run will be created. The synthesis may not be able to complete or could result in incorrect behavior.
Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
WARNING: [Vivado 12-4148] The synthesis checkpoint for IP 'C:/FPGA_project/Tutorial/Nexys4DDR-master/Projects/User_Demo/src/ip/ClkGen/ClkGen.xci' is not generated and IP is locked, no out-of-context (OOC) run will be created. The synthesis may not be able to complete or could result in incorrect behavior.
Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Implementation target. Since these IPs are locked, no update to the output products cant be done. 
Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
C:/FPGA_project/Tutorial/Nexys4DDR-master/Projects/User_Demo/src/ip/ddr/ddr.xci

Run output log is uploaded. 

runme.log

Link to comment
Share on other sites

1 answer to this question

Recommended Posts

Hello,

The problem is a Vivado bug. You are using a different version of Vivado that the one used for creating the project. The version used is 2015.1. If you use this you won't have errors. In order to see the Demo working on newer Vivado version, you should upgrate your IP core. It says in your warnings to  " select 'Report IP Status' from the 'Tools/Report'  ". Vivado 2015.2 or 2015.3 might give you some errors, so I suggest using the last version of Vivado 2015.4

Get your IP up to date then reopen your project and run a synthesis.

Best regards,

Bianca

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...