Jump to content
  • 0

Problem with Basys 3 Programming Guide Tutorial


jrosengarden

Question

Hi all:

I'm new to both Vivado and the Basys3 board.  I've been working thru the initial tutorials to get myself familiar with the software and the board.  The very 1st tutorial, Getting Started with Vivado, went fine.  Everything worked as advertised.

The problem I've run into is with the 2nd tutorial, Basys 3 Programming Guide Tutorial (https://reference.digilentinc.com/learn/programmable-logic/tutorials/basys-3-programming-guide/start).

I have the latest version of Vivado (2018.3) and the tutorial was built with 2015.1.  The tutorial even has a zip file to download both the sw_led.v file and a Basys3_sw_Demo.xdc constraint file.

After downloading the files, building the project, then running the synthesis I get 26 critical warnings that cause the Implementation and Generate BitStream to fail.

22 of the critical warnings are "V17 is not a valid site or package pin name" (and each of the following 21 warnings change the "V17" to the next pin name in the constraint file.
(I've checked the schematic and the Pin Names ARE VALID)

The next critical warning is "Setting property "IOSTANDARD' is not allowed for GT Terminals and this error is flagging only a single line in the constraint file yet ALL of the switches in the constraint file all do a "set_property IOSTANDARD LVCM0S33.........

The final 2 critical warnings are "Cannot set LOC property of ports.  Site location is not valid.  This error is flagging two of the LED settings in the contraint file yet, once again, all 16 of the LED's are using the same commands within the constraint file.

I'm at a brick wall trying to figure out what the heck the problem is here.  As I said...the 1st Tutorial went fine.  This tutorial even included the constraint file needed for the project. I've attached a zip file containing the entire Vivado project.

Any help/advice would be greatly appreciated.  THANKS!

 

project_2.zip

Link to comment
Share on other sites

3 answers to this question

Recommended Posts

Hi @jrosengarden,

Welcome to the Digilent Forums!

Looking at your project 2 you have 2 xdc files. The error you  posted is discussing issues with pin V17 which is SW0 on the basys 3.

Start with a fresh project selecting to import the source and constrain files from the downloaded folder.

Make sure to select the basys 3 in the board tab and create the project.

Then generate a bitstream. Next open the hardware manager and configure the FPGA with the bit stream.

I have attach screen shots of the process using Vivado 2018.3 that should help. 

best regards,

Jon

 

 

basys3_ld_sw_3.jpg

basys3_ld_sw_2.jpg

basys3_ld_sw_1.jpg

basys3_ld_sw_4.jpg

Link to comment
Share on other sites

thanks for the response.  Greatly appreciated.  I figured out what I had done wrong....I didn't select the Board properly.  I had filtered/searched for the Basys3 board and when that was the only one on the screen I "thought" that was all I needed to do to select it.  I pressed next......and that caused my problem.  I finally realized I had to click in one of the fields for the selected/filtered board, which turns the whole line blue...and THAT selected the proper board.

I figured it out by looking at the Project Part # (within the project) and realized it was NOT the Basys3 board.  Stupid mistake.

 

Thanks again for responding...and so quickly!

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...