I'm trying to add module to the schematic I designed, but I got an error because of types.vhdl.
I hope we can solve the problem.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package types is
subtype pixel8 is std_logic_vector(7 downto 0);
type frame_type is array(natural range <>) of pixel8
subtype frame9 is frame_type(0 to 8);
end types;
Question
askhunter
I'm trying to add module to the schematic I designed, but I got an error because of types.vhdl.
I hope we can solve the problem.
Link to comment
Share on other sites
2 answers to this question
Recommended Posts
Archived
This topic is now archived and is closed to further replies.