Jump to content
  • 0

"port type is not recognized. " error in vivado


askhunter

Question

I'm trying to add module to the schematic I designed, but I got an error because of types.vhdl.

I hope we can solve the problem.
 

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

package types is

subtype pixel8 is std_logic_vector(7 downto 0); 

type frame_type is array(natural range <>) of pixel8

subtype frame9 is frame_type(0 to 8);

end types;

aaaasseee.JPG.5fd8b7d32d507ed2992f3d1400574edc.JPG

port.JPG.4b6f74e449bf9cb3e53c73659cc4498a.JPGorderrrrr.JPG.b490e7d751d38d7f80ebb9e86449f33e.JPG

Link to comment
Share on other sites

2 answers to this question

Recommended Posts

2 hours ago, askhunter said:

type frame_type is array(natural range <>) of pixel8

Using unconstrained arrays is generally a bad idea for synthesis. Even when you expect to constrain it later when using frame_type don't assume that your synthesis tool will close the loop. Refer to UG901 for Vivado VHDL support and coding guidelines. 

There are differences between synthesis tools from various vendors in how and the order of when code is evaluated.

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...