Jump to content
  • 0

Zybo-Z7-20-pcam-5c demo project with vivado 2018.3


kenichisasaki

Question

Hi, I tried to do Zybo-Z7-20-pcam-5c demo project with vivado 2018.3 but I could not synthesize the design with vivado 2018.3 showing "system_MIPI_CSI_2_RX_0_0_synth_1 failed".

I confirmed that it works with only following the instructions on README file at github page (https://github.com/Digilent/Zybo-Z7-20-pcam-5c).

But for further application project development, I'd like to use it as a basis of my project and it needs to be developed through synthesis to generating bitstream.

 

As latest release is for 2018.2-1, when is it available for v2018.3? or should I change a version to 2018.2??

 

Link to comment
Share on other sites

1 answer to this question

Recommended Posts

Hi @kenichisasaki,

We do not have the bandwidth to update our Vivado content on every 201X.X release.  Unfortunately, Vivado projects are tied to the 201X.X release it was made with or updated to. Here is a forum thread that discusses this error with the Zybo-Z7-20-PCAM-5C demo.I would suggest downloading Vivado 2018.2 to use this demo. You can have multiple versions of Vivado downloaded to the same PC. 

thank you,

Jon

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...