Jump to content
  • 0

Failure : IP Integrator tutorial with Vivado 2014.4


Danny Armstrong

Question

Hi,

I am trying to try out the tutorial offered by Digilent on IP integrator. The website is below. I am using Vivado 2014.4 (due to lab PCs are 32-bit Win-7 system, had to use 2014.4 version..) with Basys3 board.

https://reference.digilentinc.com/playground/getting-started-with-ipi/start

However, I was unable to run the program together with SDK. I could not observe LEDs turned ON when pressing any push button.

During design process, I met the following problem and hope that you could enlighten me.

1. During board design, the "sys_clock" module in miscellaneous can NOT be used. I had to use "clocking wizard" in "Add IP". (Suspect board_parts problem with Basys3 old files?)

2. Bitstream generation was failed, saying the sys_clock was not assigned with an location or voltage standard. I figured this could be due to the fact that the clock wizard I added is not taken from the board tap, but from a general IP wizard. So I created a contraint file (.XDC) myself and constrained the sys_clock (using Digilent provided .xdc template, and change name from "clk" to "sys_clock")

3. After bitstream was generated successfully, I exported file and launched SDK, followed the tutorial steps. Everything looked fine till the last step. In SDK associated with Vivado 2014.4, There is NO "Run as -> Launch on Hardware (system debugger)". I could only use "Debug as -> Launch on Hardware (system debugger)" instead. 

4. After all of the above was complete, however, the board could not function properly. No LED was lighting up when buttons were pressed.

 

I followed the same steps using Vivado 2018.8 on my computer, everything works. Could you enlighten me on where possibly I did it wrongly?

Thanks,

Danny

Link to comment
Share on other sites

3 answers to this question

Recommended Posts

Hi @Danny Armstrong,

Vivado has changes some since 2014.4. The link you posted does not cover all versions of Vivado.  The overall flow will be the same but there are differences between Vivado 2014.4 and Vivado 2018.x.  You experience this with the board files. Here is a more basys 3 specific tutorial but will still have some issues for you since it uses Vivado 2016.x.  Instead of run as ->launch on hardware(system debugger) use runs as->launch on hardware(GDB). 

thank you,

Jon

Link to comment
Share on other sites

8 hours ago, jpeyron said:

Hi @Danny Armstrong,

Vivado has changes some since 2014.4. The link you posted does not cover all versions of Vivado.  The overall flow will be the same but there are differences between Vivado 2014.4 and Vivado 2018.x.  You experience this with the board files. Here is a more basys 3 specific tutorial but will still have some issues for you since it uses Vivado 2016.x.  Instead of run as ->launch on hardware(system debugger) use runs as->launch on hardware(GDB). 

thank you,

Jon

Hi Jon,

I looked through the tutorial you helped refer to. The steps are the same as the link I provided above. I believe the results will be the same. 

run as ->launch on hardware(GDB) does NOT work, SDK gave an error if I chose the GDB option. Not sure why.

Looks like Vivado 2014.4 should not be the version to continue, but I have no choice for now, still have to find out ways to make it work..

Thanks,

Danny

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...