Jump to content
  • 0

PCAM 5c with ZyboZ7 - Can not get camera images


Ted

Question

Hi everyone, 

So I have spent probably too much time trying to figure this stuff out on my own.  I should preface this with I have little to no experience with these types of boards (FPGA).  I followed the Demo documentation (https://reference.digilentinc.com/learn/programmable-logic/tutorials/github-demos/start ) line by line, multiple times and keep netting the same results.  I have installed version "Vivado Design Suite & Xilinx SDK 2016.4" and downloaded the appropriate project files for the PCAM 5D project (2016.4)

I am using a windows 10 machine.

The demo instructions don't actually tell you how to get the camera to turn on.  It tells you how to program and connect everything and then jumps into how to communicate via the UART communication channel..so it could be as simple as I'm missing the most obvious step due to my lack of experience.

All I ever get through HDMI is what appears to be called the "Zybo Z7-20 Rev. B Demo Image"  it comes up on power on, goes away during programming, and when I run either fsbl or  pcam_vdma_hdmi the screen will go black for a moment then come back to the moving color "demo screen"

any input/guidance would be greatly appreciated

 

 

Link to comment
Share on other sites

13 answers to this question

Recommended Posts

Hi @Ted,

Welcome to the Digilent forums!

Once you program the fpga and run the application in SDK the Pcam-5C the project should be outputting an image out through the HDMI-OUT port. You do not have anything to turn on. 

1) To verify, you are using the Zybo-Z7-20 and not the Zybo-Z7-10?

2) Make sure that the HDMI cable going to your monitor from the Zybo-Z7-20 is connected to the HDMI-OUT port.  

3) Make sure that the metal strip on the Pcam-5C is facing the correct way when connecting to the MIPI-2 port on the Zybo-Z7-20.

4) Are you moving the Pcam-5C after running the application to see if the Pcam-5C is outputting an image to the HDMI?

5) Are you getting any error showing in the sdk tcl console?

If you are still having issues, please attach a screen shot of your vivado including the block design, wrapper file and  your SDk including the tcl console.

thank you,

Jon

Link to comment
Share on other sites

16 minutes ago, jpeyron said:

Hi @Ted,

Welcome to the Digilent forums!

Once you program the fpga and run the application in SDK the Pcam-5C the project should be outputting an image out through the HDMI-OUT port. You do not have anything to turn on. 

1) To verify, you are using the Zybo-Z7-20 and not the Zybo-Z7-10?

2) Make sure that the HDMI cable going to your monitor from the Zybo-Z7-20 is connected to the HDMI-OUT port.  

3) Make sure that the metal strip on the Pcam-5C is facing the correct way when connecting to the MIPI-2 port on the Zybo-Z7-20.

4) Are you moving the Pcam-5C after running the application to see if the Pcam-5C is outputting an image to the HDMI?

5) Are you getting any error showing in the sdk tcl console?

If you are still having issues, please attach a screen shot of your vivado including the block design, wrapper file and  your SDk including the tcl console.

thank you,

Jon

Hi @jpeyron ,

1.) Zybo Z7 zynq - 7020 Development board is what the box says

2.) HDMI is connected to HDMI TX

3.) Confirmed

4.) No, as i am not getting an image from the camera, what is displayed on the monitor is a moving set of colors a "demo screen" if you will as shown here:image.thumb.png.1ce3a3d6a5c9aec6ce2988cc8c136515.png

I will get a screen shot momentarily, I just went through the whole process NOT using the SDK handoff method which i find to be a lot more difficult.  But no, there were no warnings that i recall, but will circle back shortly.

thank you for your help.

Link to comment
Share on other sites

25 minutes ago, jpeyron said:

Hi @Ted,

Do you have the usb uart serial terminal like tera term connected to the COM port of Zybo z7-20  the baud rate should be set to 115200. Please attach a screen shot of what you see on the serial terminal.

Thank you,

Jon 

Hi @jpeyron,

Here is the message i get from Tera term

image.png.2fbc1a72646bcad54e404b00b0c7c227.png

 

Is what the console looks like in the sdk

image.thumb.png.3a7d86693f57f438341160d9dfc314a4.png

 

 

Link to comment
Share on other sites

10 minutes ago, Ted said:

@jpeyron,

 

No, it looks like it is set to QSPI, should I change that?

The answer is yes, that fixed it! Thank you so much!

 

The odd thing is that I tried this in one of my attempts and put it back to QSPI as it didnt work.  Regardless thank you so very much for walking me through this.  all looks good now: image.png.f351f8258d90761729fdcf82e87d6b21.png

Link to comment
Share on other sites

Hi @Ted,

The QSPI mode configures the Zybo-Z7-20(Zynq fpga) with whatever BOOT.BIN file is loaded on the QSPI Flash. Currently your Zybo-Z7-20 has the OOB Demo BOOT.BIN file loaded on the QSPI flash which keeps configuring the Zybo-Z7-20 on power up.

Please set the mode jumper JP5 to JTAG. 

Then program the FPGA and right click on the application and select run as-> launch on hardware(system debugger)  .

Thank you,

Jon

Link to comment
Share on other sites

Hello Dear @jpeyron

I have the similar problem. In the first time when I connected PCAM and HDMI connector between board and monitor, I got the moving set of colors like the above. But, it disappeared suddenly I dont know why. Now, I cannot establish the serial communication with Zybo Z7 through Tera Term. You can see the screenshot attached. In the board, I set it to JTAG and the board is connected to PC via Micro USB cable  correctly. Please help..

Com_port_Error.png

Link to comment
Share on other sites

One thing I observed with the demo is it does not handle end of line characters coming in over the uart.

Depending on how your terminal emulator is configured, it may wait for you to hit the Enter key before it sends any characters to the zybo and it will include the carriage return or linefeed or both. The demo will reject the line ending characters 

I ended up using the terminal built into the SDK.  Once I did that, I also had to set the resolution before an image would display.

image.thumb.png.fb0cebed06e4699d5a214b2dfa5b0e97.png

The resulting image...

image.thumb.png.32b5ae7b81a3d20ae1741527edf5130d.png

Link to comment
Share on other sites

20 minutes ago, kwilber said:

Simply downloading the bitfile using Vivado's Hardware manager is not sufficient to run the demo. You need to build the demo app using the SDK and run it from the SDK. 

Have you built the demo app using the SDK?

Yes, you are right @kwilber Now there is no problem through Xilinx SDK

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...