Jump to content
  • 0

How to visualize clock signal of ARTY 7 kit using oscilloscope?


Ahmed Alfadhel

Question

11 answers to this question

Recommended Posts

Hi @Ahmed Alfadhel,

I do not believe we have an easily accessible measuring point for the global clock. Can you describe what information you are hoping to get and or verify?  You should be able to output the clock to one of the high speed pmod ports. Unfortunately, we can not guarantee that the measured result will be accurate to the actual global clock as described in these forum threads here and here. The reference manual here describes the on board oscillator in section 7 Oscillators/Clocks. On the bottom of page 5 of the schematic here  it shows the oscillator is on IC2 and connected to the Axtix-7 FPGA on Bank 35 pin E3 . The global 100 MHz clock is on pin 3 of the IC2 and ground is pin 2. I believe that pin 1 of the oscillator is on the top right indicated by the dot. 

thank you,

Jon

Arty-A7-IC2.jpg

Link to comment
Share on other sites

So the picture that you post of a (relatively) gigantic scope probe clip resting on a fairly small FPGA device in a BGA package is a way of making a statement. It might also be viewed as a picture that might be making a statement to you.

I routinely use an LED to verify that my design is at least being clocked properly. Make a 32-bit counter and connect bit 26 or so to an obuf driving one of the boards LEDs. You can get an approximation of a 1 blink/s LED rate with a little math depending on the clock rate and the chosen counter bit. For me the clock rate of interest isn't the external clock coming into the FPGA but some clock output of an MMCM or PLL that I'm using for my design. If the LED is blinking then I at least know that something is alive in my design.

You've got to be careful with those large scope probe grabbers around fine pitch components. I prefer to bring out a few debug versions of particular signals of interest to a PMOD and connect that to a scope probe ( the PMOD has DGND pin(s) ). You still have to exercise some caution with the ground clip on your scope probe as it's easy to short an adjacent pin to ground and ruin your day. It would be very useful if Digilent provided GND test points, or at least holes for test points, in an area of their boards for scope probing. The safest thing might be to stick a pin into the GND receptacle of one PMOD connector and probe on signals in another. Insulation stripped off suitably sized wires can help as well to keep ground clips from accidents. It's really easy to have that ground clip pop off whatever it's connect to and bounce around on exposed parts of your board; nothing good will come of that.

I limit scope probing to when it's necessary. There are usually safer ways to evaluate signals in your FPGA design.

Lastly, you should understand that its very easy to get a false impression of what a signal looks like, especially with normal scope probe ground clips. Think Heisenberg.

Link to comment
Share on other sites

@Ahmed Alfadhel

Again, I think that your picture is trying to speak to you.

As I suspected from the picture of your scope probe your scope has a limited analog bandwidth (blazoned proudly on the front panel) of only 100 MHz which is the fundamental frequency of the signal that you are trying to measure. I don't know what the bandwidth of your probes are but, assuming that they are 100 MHz, I will tell you that a 100 MHz scope with 100 MHz probes is most likely a measurement system with significantly less than 100 MHz bandwidth. There are some good application notes from the major scope vendors that you might find instructive. Can you guess where I'm going with this line of observation?

Also, not in your favor is that likely the oscilloscope display presentation of your clock is sinx/x. What does that mean? You probably thought that a 1 GHz sampler in your scope was sufficient for your needs. As I've mentioned in other posts all test tools will lie to you if you don't understand how they work or how to interpret their displays.

Lastly, I should mention that some clock modules do put out sine waves and are used in digital logic. I can assure you that what you say that you are looking at is not a sinewave.

Now, having equipment that is, let's say, not state of the art is not necessarily a bad thing. It forces the user to exercise the brain rather than strain the eyes. It's not uncommon to be forced to make derivative measurements. For instance you might want to measure a 1 GHz signal by looking at the output of a prescaler that puts out a 10 MHz signal. It's quite possible to debug a system using inference rather than direct measurements. I've done it. Not many of us get to work with 150K scopes or even the second tier test equipment. Some jobs require having the best equipment in existence but debugging is more about brain power and always will be.

I been at places where they had decent test scopes; not the high end but plenty capable for the tasks at hand. The young guys were forever placing high hopes on every last digit of the reported measurements having never spent the time to read through all of their equipment specifications; and making bad judgements with their overly optimistic data....

Link to comment
Share on other sites

Well that's a pretty horrible looking 5 MHz signal coming directly out of an MMCM.

It does remind me of the characteristic response of a particular passive component to a pulse, from decades ago when I took my intro electronics course. What do you think? Remind you of anything?

I didn't mention the idea of scope probe compensation. It sure doesn't look like something that even a cheapo compensated probe would present for a low frequency signal out of a functioning FPGA pin into a high impedance load.

Past that there are a number of usual suspects... but something is fundamentally wrong with your test setup.

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...