Jump to content
  • 0

Digilent Pmod I2S2 test


NelsonWee88

Question

Hello. Would like to use notebook audio in/out (mic/headphones or speaker) to test Pmod i2s2 loop back test ? Is there any such example to pump notebook audio out to pmod i2s2 linein

and loopback 12-pin pmod connector loop-back , then pmod i2s2 lineout to notebook audio in or mic input ? Some sort of simple loopback test ?

Regards.

Link to comment
Share on other sites

4 answers to this question

Recommended Posts

Hello Jon,

Using Trenz TE0630 Fpga module with carrier board (FPGA Module with Spartan-6 LX150). Can we just have simple test just for Pmod i2s2 module alone (set freq for LRCK

and sclk , then monitor with oscilloscope) ?

And what the difference if pmod use as master or slave ?

Lastly could we do simple test (attachment pdf. Set mode as master . Then provide MCLK (LineIn) , connect A/D LRCK n SCLK to D/A LRCK n SCLK). Sinewave input to  line-in  input and monitor line-out output with oscilloscope ?

 

Regards.

testpmodi2s2.pdf

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...