Jump to content
  • 0

set_property SEVERITY {Warning} [get_drc_checks UCIO-1]


Ahmed Alfadhel

Question

Hello ,

Is there any danger of damaging my board in case I used this Constraint property :

set_property SEVERITY {Warning} [get_drc_checks UCIO-1]

?

I need to use it in order to avoid this error :

[DRC UCIO-1] Unconstrained Logical Port: 30 out of 32 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined.  To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run.  Problem ports: FHSS_Signal_TX[29:0].

The attached picture is my block design.

Looking forward your helps . Regards.
 

 

1.PNG

Link to comment
Share on other sites

3 answers to this question

Recommended Posts

Hi,

>> "To allow bitstream creation with unspecified pin locations (not recommended)"

I would not do that when working with hardware. It's useful in situations where you don't care at what pin the signal is connected (e.g. a quick feasibility check).

It is possible that some pins serve a board-specific special purpose e.g. are grounded. It's unlikely this would cause damage, but you may run into problems that require serious detective work to debug.
Most likely it will actually work.

Just guessing: If you need the outputs because interesting signals get optimized away otherwise, the attribute "DONTTOUCH" or "KEEP" should prevent this.

 

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...