Jump to content
  • 0

Zybo Z7-20 PCAM Demo Unimplementable on Vivado 2017.4


malkauns

Question

I am using Vivado 2017.4 on Linux and am trying to build the pcam demo project.  The readme states "Created for Vivado 2017.4".  After downloading and extracting Zybo-Z7-20-pcam-5c-master.zip and vivado-library-master.zip I am executing the following steps:

cp -r vivado-library-master/ip/* Zybo-Z7-20-pcam-5c-master/repo/vivado-library/
<start vivado 2017.4 in tcl mode and cd to Zybo-Z7-20-pcam-5c-master/proj/>
source create_project.tcl

 

create_project.tcl produces the following output with error:

ERROR: [BD 41-1665] Unable to generate top-level wrapper HDL for the BD-design 'system.bd' is locked. Locked reason(s):
* Block design contains locked IPs. Please run report_ip_status for more details and recommendations on how to fix this issue. 
List of locked IPs: 
system_auto_pc_0
system_auto_pc_1
system_xbar_0
system_video_dynclk_1
system_MIPI_D_PHY_RX_0_0
system_clk_wiz_0_0
system_axi_mem_intercon_0
system_AXI_BayerToRGB_1_0
system_rgb2dvi_0_0
system_axi_mem_intercon_1_0
system_ps7_0_axi_periph_0
system_AXI_GammaCorrection_0_0
system_axi_vdma_0_0
system_auto_pc_2
system_MIPI_CSI_2_RX_0_0
system_v_axi4s_vid_out_0_0
system_processing_system7_0_0
system_rst_clk_wiz_0_50M_0
system_vtg_0
system_rst_vid_clk_dyn_0
system_xlconcat_0_0

ERROR: [Common 17-39] 'make_wrapper' failed due to earlier errors.

    while executing
"make_wrapper -files [get_files $design_name.bd] -top -force"
    invoked from within
"if {[llength $bd_list] != 0} {
  add_files -norecurse -quiet -fileset sources_1 [glob -nocomplain $src_dir/bd/*/*.bd]
  open_bd_design [glob -nocompla..."
    (file "create_project.tcl" line 123)
Vivado% 

 

Full output: https://pastebin.com/s1N875XD

 

When I try to implement I get the following error:

[Place 30-569] BUFIO instance 'SerialClkBuffer' is driving 'I' pin of instance 'SerialClk_OBUF_inst'{OBUF}. This will lead to unroutable situation. A BUFIO can drive only clock pins of IO tile

 

Can someone please point me in the right direction?

 

Link to comment
Share on other sites

Recommended Posts

Hello,

Could you try the following steps, in order, for building your Pcam 5C Vivado project?

1. The master vivado-library branch is missing the D-PHY and CSI-2 IPs needed for the Pcam 5C demo project. Please download the d-phy vivado-library branch instead: https://github.com/Digilent/vivado-library/tree/feature/d-phy

2. Once you downloaded this version of the library please unzip it and copy its contents (the "if" folder, the "ip" folder, the ".gitignore" file, the "License.txt" file and the "README.md" file) directly at the following location in your unzipped Pcam 5C Vivado project (without any additional subfolder in the path): <path to your unzipped Pcam 5C proj>\Zybo-Z7-20-pcam-5c-master\repo\vivado-library\ .

3. Clean up the project and rerun the \Zybo-Z7-20-pcam-5c-master\proj\create_project.tcl script from Vivado.

4. You may see an error in Vivado about the D-PHY and CSI-2 IPs being locked. To fix it, please run from Vivado: Tools -> Report -> Report IP Status. This will open a window saying the D-PHY and CSI-2 IPs need to be upgraded. Select "Upgrade Selected". Once this is finished, you should be able to correctly synthesize and implement the Pcam 5C Demo project.

Please let me know if you encounter any other issue with the Demo Project.

Best Regards,

Ioan.

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...