Jump to content
  • 0

how to make zybo as independed pc with debain jessie?


patelviv

Question

Hello, everyone. I am new here in this form and also with zybo. I have been working on one zybo project. I am finished with vivado design. but now I am working with the petalinux tool to create bootable sd card. I have read the tutorial to create a bootable image for Debian Jessie (it can boot perfectly). but I could not find proper materials to modify my devicetree file in order to work with VGA and other peripherals to make complete pc. can you please suggest me proper tutorials or articles?  

thank you 

Link to comment
Share on other sites

2 answers to this question

Recommended Posts

Hi @patelviv

There really isn't a tutorial for how to do this, but rather an example project that you can refer to. The Vivado project and petalinux project (that includes the properly configured device tree) are found here:

https://github.com/Digilent/Zybo-base-linux

https://github.com/Digilent/Petalinux-Zybo

K, so technically that project doesn't use the VGA output, but rather uses the HDMI output. If that doesn't work for your purposes, then you will need to replace the rgb2dvi core with an rgb2vga core, and also remove the I2C controller attached to the DDC bus on the the HDMI port (don't forget to modify the XDC). The use petalinux-config --get-hw-description to import the HDF from your modified project (see UG1144 from Xilinx for more detail on this). Finally, you should modify the system-user.dtsi device tree file in the petalinux project to remove the property in the digilent-encoder node that links to the DDC i2c controller you removed (since the VGA port doesn't have the DDC bus attached to the FPGA). This will cause the driver to just hard code to a resolution on boot, to see how to set the resolution refer to:

https://github.com/Digilent/linux-digilent/blob/master/Documentation/devicetree/bindings/drm/xilinx/digilent_encoder.txt

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...